2018年12月30日 星期日

Hopes that 3D sensors will revive smartphone market

Sony, the leader in the  image sensing market ever since it pioneered  CCD development, is hoping that 3D image sensors will  revive the flagging smartphone industry which is estimated to have fallen about 3% this year Sony’s sensor boss, Satoshi Yoshihara (pictured), says the company will ramp up 3D sensor production in later summer 2019 in ...

This story continues at Hopes that 3D sensors will revive smartphone market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2Rt1Qw0
via Yuichun

China moves on IP protection

In a move which could be seen as a Chinese initiative to defuse the trade war, China’s Supreme Court has said it will now hear IP-related cases. The decision takes effect on January 1st. Up to now, cases concerning IP have been decided at the district court level. The lack of legal protection for IP ...

This story continues at China moves on IP protection

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2GNIOMK
via Yuichun

Dell returns to public market

Dell went back onto the New York stock exchange on Friday nearly six years after Michael Dell (pictured) took the company public. The complicated deal saw a group led by Michael Dell pay a Dell subsidiary $24.4 billion for control of Dell – a valuation which infuriated activist investor Carl Icahn who says the company ...

This story continues at Dell returns to public market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2LGHzOl
via Yuichun

Toshiba positions battery unit for EV growth opportunity

On April 1,  Toshiba’s SCiB li-ion battery business will be transferred from Toshiba Infrastructure Systems & Solutions Corporation (TISS) to will become an independent business unit within Toshiba. The move is part of the Toshiba Next Plan and positions the battery business as a new growth business. Positioning the business as an independent operation will ...

This story continues at Toshiba positions battery unit for EV growth opportunity

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2QcU44E
via Yuichun

Spacecraft to fly past Ultima Thule tonight

At half past midnight tonight NASA’s New Horizons spacecraft (pictured) flies past Ultima Thule which, at four billion miles, is the most distant object a man-made object has seen. Because of the distance, it will take ten hours for the first images of Ultima Thule to reach Earth. However NASA is showing footage of events ...

This story continues at Spacecraft to fly past Ultima Thule tonight

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2VgtoUJ
via Yuichun

Face Recognition gets legal nod

Face recognition technology has received a boost from the decision in Rivera v Google in a Chicago District Court. The plaintiffs alleged that Google’s Photo service violated their rights by collecting and storing biometric data from people’s photographs using facial recognition software without their permission. The judge decided that Google’s photo sharing and storage service ...

This story continues at Face Recognition gets legal nod

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2QdqqfE
via Yuichun

2018年12月28日 星期五

EEVblog #1164 – Xbox Engineering Baptism Of Fire

EEVblog #1163 – Xmas Mailbag

EEVblog #1162 – Little British Monitors

EEVblog #1161- Automated Coffee Machine Dumpster Teardown

2018 was a dark year for tech, says Vestager

2018 was a bad year for tech, EU Competition Commissioner Margrethe Vestager told Martha Lane-Fox in a BBC interview. Vestager instanced “forced misuse of data, manipulation, supervision, no respect of the citizen, no respect of individual” as examples of abuse and stated “there is an increasing awareness of the fact that we really need to ...

This story continues at 2018 was a dark year for tech, says Vestager

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2Ae5Jv9
via Yuichun

BeiDou becomes fully operational

Yesterday, China’s BeiDou satellite positioning system BDS became fully operational. “This signifies that BDS has officially entered the global era as the BDS expands from a regional system to a global navigation system,” said BeiDou’s Ran Chenqi at the launch event, “from now on, no matter where you go, BDS will always be with you.”  ...

This story continues at BeiDou becomes fully operational

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2SuWJch
via Yuichun

2018年12月27日 星期四

Qualcomm business practices up before a judge

Next week the legality of the most notorious business model in the tech industry’s history will come under the scrutiny of a judge. The judge is Lucy Koh who has shown a very independent streak in judgments affecting big companies who have used bully-boy tactics. The case is the US Federal Trade Commission  v Qualcomm. ...

This story continues at Qualcomm business practices up before a judge

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2ERsGaI
via Yuichun

BT network drops Huawei

The Emergency Services Network (ESN), which is being built by BT to provide priority access to mobile phone services for the emergency services, will be delayed as plans to use Huawei switchgear are being dropped. The ESN was to have been in place next year. The new date for completion of an Huawei-free ESN is ...

This story continues at BT network drops Huawei

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2ETRIGO
via Yuichun

Sharp to spin off semi business

Sharp is to spin off its semiconductor business, reports The Japan Times. The spin-off, which is designed to speed up management decision-making, enable outside investment and facilitate external partnerships could happen as early as April. The spin-off will see the semi business split into two wholly-owned subsidiary companies one for chips and sensors and the ...

This story continues at Sharp to spin off semi business

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2EUPLKi
via Yuichun

Huawei first to use TSMC EUV

HiSilicon, Huawei’s chip subsidiary, will be the first customer to use a TSMC process using EUV, reports China’s Commercial Times. The process labelled N7 Plus, is due for production in Q1 2019. A follow-up process using EUV, N5, is due for production in 2020. Huawei is TSMC’s second largest customer after Apple. TSMC is expected ...

This story continues at Huawei first to use TSMC EUV

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2AsbJ3F
via Yuichun

Trump ponders banning Chinese switchgear

President Trump is considering an executive order upping the stakes in the US-China trade war, reports Reuters. The order would ban all US companies from buying switchgear from Huawei and ZTE. Since Huawei equipment is said to cost a quarter of Ericsson equipment, this would hit US companies, especially smaller ones in rural areas, very ...

This story continues at Trump ponders banning Chinese switchgear

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2LzuVR7
via Yuichun

2018年12月26日 星期三

Intel gets Israeli fab incentive

Intel is to get a $185 million grant for expanding its Kiryat Gat fab in Israel. Earlier this year, Intel submitted plans for the expansion which will cost $5 billion and add 250 employees at the site. Intel also undertook  to make local purchases worth $500 million. Earlier this month, Intel said it had begun ...

This story continues at Intel gets Israeli fab incentive

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2EQAidd
via Yuichun

Huawei anticipates being No.1 smartphone vendor in 2019

Huawei reckons it could be the world No.1 smartphone vendor in 2019. “In the global smartphone market, Huawei has gone from being dismissed as a statistical ‘Other’ to ranking among the top 3 players in the world,” says the company, “in Q4 next year, it’s possible we become No. 1” The company says it sold ...

This story continues at Huawei anticipates being No.1 smartphone vendor in 2019

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2VbB8XZ
via Yuichun

2018年12月23日 星期日

Sinclair invention gene rides again

The inventive Sinclair gene rides again as Sir Clive’s nephew, Grant Sinclair, launches a  build-your-own pocket games machine. Grant’s product is called POCO, standing for Pocket Raspberrry Pi Gaming Kit. It includes a Raspberry Pi motherboard,  a wide-angle camera, loudspeaker, touch screen and control pads. About the size of a smartphone, POCO  allows users to ...

This story continues at Sinclair invention gene rides again

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2TaTWVx
via Yuichun

Get a second source TSMC tells 8-inch customers

TSMC has advised customers for 8-inch wafers to use multiple sources, reports Digitimes, because demand looks like exceeding supply right through 2019. Last week TSMC said it was looking to build a new 8-inch fab in Tainan. The last time it built an 8-inch fab was 15 years ago The demand is coming from customers ...

This story continues at Get a second source TSMC tells 8-inch customers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2CwExcu
via Yuichun

Foxconn planning foundry

Foxconn is said to be planning a $9 billion foundry in Zhuhai (pictured). The city of Zhuhai is putting up most of the money. Foxconn, its subsidiary Sharp and the city are expected to form a jv to build and run the fab. Initial products are expected to be 8K TV chip-sets, and imaging and other ...

This story continues at Foxconn planning foundry

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2Lw63K1
via Yuichun

Mobile Semiconductor launches FDX memory compiler

Mobile Semiconductor has announced a 22nm FDX ULP (Ultra Low Power) Memory Compiler. The Memory Compiler offers an Ultra-Low Power mode at 0.65V that is useful to a wide range of wearable and battery powered devices. The 22nm FDX ULP joins their expanding 22nm FDX Memory Compiler family that currently covers a wide range of ...

This story continues at Mobile Semiconductor launches FDX memory compiler

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2GF9XBu
via Yuichun

ROHM claims the smallest contactless current sensor

ROHM claims to have launched the industry’s smallest contactless current sensor, the BM14270MUV-LB. It achieves minimum power loss (no heat generation) in an ultra-compact size, making it ideal for industrial equipment and consumer devices that detect operating conditions via current, including battery-driven drones, solar power systems, and servers in data centers requiring high power. In ...

This story continues at ROHM claims the smallest contactless current sensor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2RdQ9sZ
via Yuichun

NTT to take majority stake in Transatel

NTT Communications is to buy a majority stake in the French virtual mobile network company Transatel. Transatel, established in 2000, has deployed a data MVNO network compliant with Embedded SIM (eSIM) technology to address three key market segments: Consumer Electronics, Automotive and Industrial IoT (IIoT). Its SIM 901 platform claims to deliver secure, global cellular connectivity ...

This story continues at NTT to take majority stake in Transatel

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2EMD4B8
via Yuichun

2018年12月21日 星期五

Government launches new cyber initiatives

The UK National Cyber Security Council set up by the Department for Culture Media and Sport has developed new initiatives which include: Appointing independent Ambassadors to help promote the attractiveness and viability of a career in cyber security to a broader and more diverse range of individuals. Launching the refreshed CyberFirst brand in 2019 which ...

This story continues at Government launches new cyber initiatives

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2EHRRNo
via Yuichun

2018年12月20日 星期四

Slowing worldwide GDP growth to hit semi market

Growth in the global economy is expected to slow in 2019 from 2018 dragging down semiconductor market growth in its wake, according to  Bill Jewell’s Semiconductor Intelligence. Ten economic forecasts released in the last two months show the percentage point change in World GDP from 2018 to 2019 ranging from minus 0.1 points to minus ...

This story continues at Slowing worldwide GDP growth to hit semi market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2EAT3Bt
via Yuichun

EC OKs $2bn IoT initiative

The EC has found that a joint microelectronics project between Germany, France, Britain and Italy qualifies for state aid. The countries will put up $1.75 billion between them which will, it is hoped, be met with $6 billion from industry. “Innovation in microelectronics can help the whole of Europe leap ahead in innovation,” says Commissioner ...

This story continues at EC OKs $2bn IoT initiative

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2QKtmpc
via Yuichun

Graphcore raises another $200m

Graphcore, the Bristol neural chip start-up founded by Nigel Toon and Simon Knowles (pictured), has raised another $200 million, taking the total it has raised to $300 million. Graphcore has reached a $1.7Bn valuation with new funding from leading venture capital, financial and strategic investors including Atomico, BMW i Ventures, Merian Chrysalis Investment, Microsoft, Sequoia ...

This story continues at Graphcore raises another $200m

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2BAxgXg
via Yuichun

MagnaChip offers 3rd gen 0.18 micron BCD foundry process

MagnaChip, the Korean  mixed-signal specialist, is offering foundry customers its third generation 0.18 micron Bipolar-CMOS-DMOS (BCD) process technology. The technology is suitable for PMIC, DC-DC converters, battery charger ICs, protection ICs, motor driver ICs, LED driver ICs and audio amplifiers. The third generation 0.18 micron BCD process technology offers improved specific on-resistance (Rsp) of power ...

This story continues at MagnaChip offers 3rd gen 0.18 micron BCD foundry process

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2QKtlSa
via Yuichun

MACOM starts new noise amplifier portfolio

MACOM has announced the first entries in its new portfolio of wideband, ultra low phase noise amplifiers. Available in 2.8 x 1.73 x 0.1 mm bare-die and 5x5mm, 32-lead AQFN packaged formats, the new MAAL-011151 is ideally suited for use as a low phase noise amplifier stage for signal generation applications spanning system designs targeting ...

This story continues at MACOM starts new noise amplifier portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2BAx5LA
via Yuichun

US continues to dominate server market

The server market grew 5% in 2018 to 12,4 million units, reports TrendForce. Dell EMC, HPE (including H3C), and Inspur will be the top three server suppliers with the shipment market shares of 16.7%, 15.1%, and 7.8% respectively. Enterprise servers account for the majority of the global shipments while the percentage of servers used for ...

This story continues at US continues to dominate server market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly http://bit.ly/2QGTTUt
via Yuichun

ST innovation challenge for start-ups

ST launching an “Open Innovation Challenge” for startups (“Challenge”) during the upcoming CES 2019. The effort, based on ST’s product portfolio including the STM32 microcontroller platform, is aimed to promote ST technology and solutions to founders and developers of French Tech startups in France and overseas. In addition, the Challenge offers development and promotional expertise ...

This story continues at ST innovation challenge for start-ups

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Cqm0Pc
via Yuichun

Small Robot raises £500,000

Small Robot Company, the Shropshire  agritech start-up, has reached  its Crowdcube equity crowdfunding funding target of £500,000 within minutes of its launch. The campaign is continuing to gather momentum, and the company is now working to reach its stretch targets. The company’s successful start to its campaign was was overwhelmingly due to backing from the ...

This story continues at Small Robot raises £500,000

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ExMsrq
via Yuichun

2018年12月19日 星期三

BrainChip updates video analysis software

BrainChip, the spiking neural net specialist,  has announced the BrainChip Studio 2018.3 update for its AI-powered video analysis software. The latest update has acnew mode that improves the software’s face classification accuracy by 10-30 percent. To date, BrainChip Studio utilized spiking neural networks to enable facial classification on partial faces. This partial-face mode is useful ...

This story continues at BrainChip updates video analysis software

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2GJpRuX
via Yuichun

MinerEye bags up $2.5m grant from EC

MinerEye, the AI-powered data governance specialist, has been awarded an SME Instrument Grant from the EC worth $2.5 million. The Grant organization selected MinerEye’s Data Tracker to provide EU companies with a solution to ensure secure and compliant cloud adoption which is currently lacking in the market today. According to the Grant organization, “The solution is ...

This story continues at MinerEye bags up $2.5m grant from EC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2R3K4zt
via Yuichun

Huawei No.1 for IoT

Despite its political woes, Huawei has won top spot in the IHS IoT Platform Vendor rankings. Cisco, Microsoft, and PTC  were No.s 2, 3 and 4. Completing the top ten were:, Alibaba, AWS, GE, IBM and SAP. “Huawei was the leading IoT platform vendor, based on its market-leading number of devices under management, the high ...

This story continues at Huawei No.1 for IoT

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A9iPtq
via Yuichun

ACEINNA posts dynamic tilt orientation measurement video

ACEINNA has posted a video on the ACEINNA YouTube Video Channel – “How to measure dynamic Tilt and orientation with an IMU” at https://www.youtube.com/watch?v=EnPzCbfCS3s Why would you want to know the dynamic tilt angle on a vehicle? Consider a classic example of a plane in the clouds. The pilot cannot see the ground, nor can ...

This story continues at ACEINNA posts dynamic tilt orientation measurement video

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SbmIFf
via Yuichun

Purdue uses 2D material to make memory ICs

Purdue researchers are using the 2D material molybdenum ditelluride to make memory ICs. “We haven’t yet explored system fatigue using this new material, but our hope is that it is both faster and more reliable than other approaches due to the unique switching mechanism we’ve observed,” says Purdue professor  Joerg Appenzeller. A system using molybdenum ...

This story continues at Purdue uses 2D material to make memory ICs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A7jjAt
via Yuichun

Billionaires back energy storage start-up

An energy storage project developed by X – an Alphabet lab – has been spun off into a start-up company calked Malta. Malta has been backed with $26 million in funding led by Breakthrough Energy Ventures whose investors include Bill Gates, Jeff Bezos, Michael Bloomberg and Masa Son. Concord New Energy Group of Hong Kong ...

This story continues at Billionaires back energy storage start-up

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ScVqyc
via Yuichun

Siglent adds to ‘scope portfolio

Siglent Technologies have introduced a new member in its Portfolio of Oscilloscopes. TheSDS2000X-E Oscilloscope offers a 2 GS/s Sample Rate and will be available with bandwidths of 200 MHz and 350 MHz. With all its standard features and functions, it is suitable for analogue circuit design and fit well for the needs of any service ...

This story continues at Siglent adds to ‘scope portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A96ZQa
via Yuichun

2018年12月18日 星期二

Arm launches second auto core

Arm is showing its aspirations in the automotive market with the launch of a second core aimed at the sector. It has come up with  a core called Cortex-A65E designed to process the multiple streams of data generated by sensors in cars. The core is expected to be in designs in 2020. The A65E is ...

This story continues at Arm launches second auto core

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PI5NIt
via Yuichun

Mouser grows 50% in Europe

[author Mouser says  that by the end of 2018 it expects to have achieved a growth of almost 50% in Europe, with a customer increase in excess of 18%. This the result of targeted customer promotions that aim to provide more of what designers need to inspire, innovate and expedite their latest projects, supported by ...

This story continues at Mouser grows 50% in Europe

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2UTbJC5
via Yuichun

Cisco buys Luxtera

Cisco is to buy the photonics IC specialist Luxtera for $660 million. “Our customers are looking to address the unrelenting demand for more bandwidth driven by an emerging class of distributed cloud, mobility, and IoT applications,’ says Cisco’s Rob Salvagno (pictured), “simply put, the exponentially growing demands on the network require a new era of ...

This story continues at Cisco buys Luxtera

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PNmT7Q
via Yuichun

November SEMI billings down 4.2% m-o-m and 5.3% y-o-y

North America-based manufacturers of semiconductor equipment posted $1.94 billion in billings worldwide in November 2018 (three-month average basis), according SEMI. The billings figure is 4.2 percent lower than the final October 2018 level of $2.03 billion, and is 5.3 percent lower than the November 2017 billings level of $2.05 billion. “For the first time in over ...

This story continues at November SEMI billings down 4.2% m-o-m and 5.3% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2V2e3aa
via Yuichun

Good News

Despite the trade war, IC Insights believes the semiconductor industry will grow. The growth is not big – single digit – but it is considerably more optimistic than SEMI’s forecast for the 2019 fab equipment industry which switched, this week, from a positive 7% to a negative 8%. While acknowledging that China will play a ...

This story continues at Good News

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2EwdiQA
via Yuichun

MACOM launches negative voltage drivers

MACOM has announced two new negative voltage drivers designed for use with a broad range of MACOM’s AlGaAs and HMIC PIN diode switches. The  MADR-011020 and MADR-011022 drivers enable integration that complements the industry-leading performance of MACOM’s advanced PIN diodes, providing designers with layout-efficient and cost-effective solutions while eliminating the design complexities and time to market ...

This story continues at MACOM launches negative voltage drivers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2UVLgEb
via Yuichun

New Assembly Plant to Produce Automotive Speakers

EAST EL PASO, TX—Tokyo-based Foster Electric plans to add an automotive speaker factory to its distribution facility here in 2020 and create 40 new jobs.



from Electronics Assembly https://ift.tt/2EAZGo5
via Yuichun

EEVblog #1160 – Weller Responds!

Shape-shifting antennae adapt in real time to cloak and block frequencies

Radio frequency filters can change which signals they block through a range of frequencies, using an origami-based structure to change their dimensions. Researchers at the Georgia Institute of Technology say that the tunable filters can be used in antenna systems that adapt to ambient conditions in real-time for electromagnetic cloaking systems that could be reconfigured on-the-fly to reflect or ...

This story continues at Shape-shifting antennae adapt in real time to cloak and block frequencies

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2BCvdSX
via Yuichun

Conductive ink breakthrough reduces cost of graphene printed electronics

Researchers at the University of Manchester have identified dihydrolevogucosenone, a non toxic material which is less expensive than conventional conductive inks. It is a sustainable material that provides higher concentrations and conductivity compared with graphene ink and is lower in cost as it does not rely on metal nanoparticles for electrical conductivity in printed electronics. Printed conductive inks are being ...

This story continues at Conductive ink breakthrough reduces cost of graphene printed electronics

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QDF31a
via Yuichun

Microcontroller secures IoT endpoint devices

Analogue capability and security functions in the Renesas Synergy S1JA microcontroller, target sensor signal acquisition and conditioning to simplify industrial instrumentation, multi-sensory systems and single-phase electrical meters, says RS Components. The low power microcontroller is based on a 48MHz Arm Cortex-M23 processor core with 256kb code flash and 32kb SRAM. There is also an integrated 16-bit ADC, 24-bit sigma-delta ...

This story continues at Microcontroller secures IoT endpoint devices

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SUSBC8
via Yuichun

IMUs help devices navigate safely

Mouser stocks the ADIS1647x precision industrial inertial measurement units (IMUs) from Analog Devices.  The IMUs can be used in virtual reality (VR) and augmented reality (AR) devices, as well as for navigation in unmanned aerial vehicles (UAVs), autonomous vehicles, smart agricultural equipment as well as industrial and IoT devices. The IMUs deliver six degrees of freedom sensing, ...

This story continues at IMUs help devices navigate safely

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Ck8pIR
via Yuichun

GPS III on the launch-pad

The first of a new constellation of updated GPS satellites, which are three time more accurate than previous satellites, is to be launched today. Current GPS accuracy is 10 to 33 feet, depending on conditions, and the new, GPS III satellites, will be accurate to  between three and ten foot. The satellite is scheduled to ...

This story continues at GPS III on the launch-pad

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A5NGqW
via Yuichun

Europractice funded as part of H2020

Imec, STFC-UKRI, Fraunhofer IIS, CMP and Tyndall announced today that Europractice was selected and granted European funding as a H2020 project. This new funding secures the Europractice services to European universities, research institutes and industry until the end of 2021. In the new H2020-project named Next Europractice eXtended Technologies and Services (NEXTS), new partners CMP ...

This story continues at Europractice funded as part of H2020

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LlSvka
via Yuichun

First folding phones next year

Next year, the first foldable phone gets launched, according to WitsView, but they will only take 0.1% of the 2019 smartphone market rising to 1.5% of the 2021 market. Samsung, which has the foldable AMOLED panel technology, is expected to be the first to launch. Huawei, LG, Lenovo and Google are said to be planning ...

This story continues at First folding phones next year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2rKftIV
via Yuichun

2018年12月17日 星期一

Fab investment goes into reverse

Fab equipment spending in 2019 is projected to drop 8%, down from the previously forecast increase of  7%, says SEMI. Total fab investment growth has been revised downward for 2018 to 10% from the 14% predicted in August. Entering 2018, the semiconductor industry was expected to show a rare fourth consecutive year of equipment investment ...

This story continues at Fab investment goes into reverse

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SPbqGC
via Yuichun

Intel makes early moves for more fab

Suffering from a shortage of capacity for CPUs, Intel is now moving early to ensure that it will have futire capacity when it needs it. The company says it has begun talks with the planning authorities in Israel, Ireland and Oregon about extending capacity  at those sites. The inetention is that construction could begin at ...

This story continues at Intel makes early moves for more fab

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SPbkPg
via Yuichun

MagnaChip launches LDO regulator for SSDs

MagnaChip, the Korean mixed signal specialist, has launched a low noise, low power consumption, fast transient LDO  regulator suitable for BGA  SSDs. The chip is developed especially for the requirements of a BGA SSD power supply and provides a stable 1.2V output voltage in the 1.65V to 3.3V input voltage range. It also is capable ...

This story continues at MagnaChip launches LDO regulator for SSDs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A2hfK6
via Yuichun

EEVblog #1159 – World’s Most Precise Pocket Calculator

Molex launches 15x6mm WiFi flexible antenna

Molex has launched its  Wi-Fi flexible antenna series –  the 206994-series of side-fed cable-flexible antenna – for space-constrained applications. When center-fed cable antennas do not address the needs of particular applications, side-fed cable antenna designs are required and Molex has developed two side-fed cable antenna versions: the 206994-series monopole and the 204281-series dipole-style side-fed flex antennas. ...

This story continues at Molex launches 15x6mm WiFi flexible antenna

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Sbmxdi
via Yuichun

NAND price to fall 10% in Q1

Contract prices of NAND are expected to drop 10%, says DRAMeXchange. NAND bit output is higher than expected thanks to steady yields on 64-layer 3D NAND production, but demand has been hit by the US-China trade war, the shortage of Intel CPUs and lower than expected iPhone sales. Even the eMMC/UFS sector, which had a ...

This story continues at NAND price to fall 10% in Q1

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2BsWDKW
via Yuichun

EUV gets real

Samsung is leading in EUV manufacturing, it was revealed at IEDM. Samsung is currently ramping a 7nm process which uses EUV for seven layers. TSMC appears to be second in EUV usage with the intention of starting to ramp a 7nm process which uses EUV for six layers early in 2019. Intel is not planning ...

This story continues at EUV gets real

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QBNlqf
via Yuichun

Hitachi buys ABB’s power grid business

Hitachi is to take over the power grid business of Swisss engineering group ABB. Initially, Hitachi will pay $6.4 billion for 80% of the business and, after four years, it will buy the remaining 20%. The first part of the deal is set to close in H1 2020. The idea is to make Hitachi better ...

This story continues at Hitachi buys ABB’s power grid business

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PIgpH9
via Yuichun

Polymeric materials advance in packaging

The polymeric materials market revenue will double over the next five years, says Yole Développement, driven by miniaturisation and higher functionalities in applications like AI, 5G, and AR/VR requiring packaging including high-density FOWLP, 3D stacked TSV, WLCSP and flip-chip.    “The innovative advanced packaging platforms have reached a new level of complexity and now demand higher ...

This story continues at Polymeric materials advance in packaging

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2UMtpzv
via Yuichun

2018年12月16日 星期日

DRAM is the fastest growing product category

DRAM was the fastest growing chip product in 2018, says IC Insights. The 2018 DRAM market is expected to show an increase of 39%, a solid follow-up to the 77% growth in 2017. It was also the fastest-growing IC segment in 2013 and 2014.   IC Insights forecasts that DRAM will rank nearly last in ...

This story continues at DRAM is the fastest growing product category

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QXzz0h
via Yuichun

EEVblog #1158 – How To Create PCB Mod Boards

EEVblog #1157 – Transistor Zener Clamp Circuit

EEVblog #1156 – Focal Professional CMS40 Studio Monitor Teardown

EEVblog #1155 – Mailbag

2018年12月14日 星期五

EEVblog #1154 – Surprising 4K Dumpster TV Fault

Mouser ships fibre optic connectors for mil-aero use

Fibre optic connectors from Amphenol Fiber Systems International (FSI) are now available from Mouser Electronics. They are intended for use in harsh environments and the extreme temperatures and vibration conditions experienced in aerospace and military applications. The ARINC 801-qualified cylindrical fibre optic connectors are designed for avionics and aircraft networks. They are available in standard ...

This story continues at Mouser ships fibre optic connectors for mil-aero use

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2CbZh9k
via Yuichun

Plessey microLEDs enable AR/VR spectacles

Plessey is to demo the world’s first AR/VR glasses powered by microLEDs at CES Plessey microLED displays deliver 10x resolution, 100x contrast ratio, 
and up to 1,000x greater luminance than OLED technology Visitors to the Plessey booth at CES will be the first to wear AR and VR glasses powered by microLEDs. The next generation of ...

This story continues at Plessey microLEDs enable AR/VR spectacles

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2BnnyI2
via Yuichun

Transphorm ships 250,000 GaN power transistors

Californian gallium nitride transistor maker Transphorm has shipped over 250,000 650V GaN fets – manufactured at its Aizu wafer foundry in Japan, it revealed. The firm also said that its wafer-foundry’s 15 million parts annual capacity for 50mΩ devices could “easily” scale to 5x that volume, and that its manufacturing process can be structured to scale ...

This story continues at Transphorm ships 250,000 GaN power transistors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SNuEwe
via Yuichun

Chip-makers can design-in failure

High-performance chips can be designed to fail early, or can be physically attacked using high workloads, according to Washington State University, whose research team damaged an on-chip comms network by deliberately adding malicious workload. Led by engineers Partha Pande (left) and Janardhan Rao Doppa, the team has been exploring chip vulnerabilities as a way to prevent malicious ...

This story continues at Chip-makers can design-in failure

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PA1gYl
via Yuichun

Mouser publishes e-book on IIoT

Mouser has brought out a new e-book centered on industrial automation as part of All Things IoT, the latest series in Mouser’s award-winning Empowering Innovation Together program. In the second e-book in the series, Mouser experts examine the technologies, possibilities and challenges related to the Industrial Internet of Things (IIoT). The book includes articles on enabling technologies ...

This story continues at Mouser publishes e-book on IIoT

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A8d8MD
via Yuichun

130W iron and 100W tweezers in dual soldering station

RS Components has launched the a soldering station with both soldering iron and tweezers, and a combined power of 200W. GT-6200, as it will be known, costs £599.95. “Its combination of power, flexibility and functionality is simply not available elsewhere at this price level, thereby delivering access to professional soldering capability for a larger range ...

This story continues at 130W iron and 100W tweezers in dual soldering station

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SKAuyD
via Yuichun

2018年12月13日 星期四

Sacked CEO takes over at Imagination

Ron Black (pictured), who was fired earlier this year from his job as CEO of Rambus, is to succeed Leo Li as CEO of Imagination. ‘Dr. Black’s conduct fell short of the company’s standards’, said  the Rambus board when sacking Black last June, adding ‘this decision was not related to the company’s operations or finances.’ ...

This story continues at Sacked CEO takes over at Imagination

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2zZOQEc
via Yuichun

AI-controlled vacuum cleaner drone dusts your house

A hovering vacuum cleaner powered by artificial intelligence has been created by Tom Harding of the University of Brighton. Harding calls it VacHumme. It operates via an AI mapping function and is designed to fly around a single room, cleaning every surface it can reach. With every new journey around a room the VacHumme’s memory ...

This story continues at AI-controlled vacuum cleaner drone dusts your house

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PCBAdQ
via Yuichun

ROHM improves power rating on thick-film chip resistors

ROHM is shipping high power wide terminal thick-film chip resistors (10-910mΩ) suitable for current detection in a variety of applications, including inverters, AC, and energy-efficient appliances. A review of the resistive material allowed ROHM to improve rated power to 2W in the compact 2550 size (2.5×5.0mm, t=0.55mm) – 4x higher than conventional short-terminal types – ...

This story continues at ROHM improves power rating on thick-film chip resistors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2GdMU0x
via Yuichun

Low ohmic resistors sense current in inverters and appliances

Rohm has announced a line-up of high power wide terminal thick-film chip resistors intended for current detection in applications including inverters and home appliances. Ranging from 10 to 910mΩ in 48 values, the parts are called LTR50. “A thorough review of the resistive material allowed Rohm to improve rated power to 2W in the 2.5 x ...

This story continues at Low ohmic resistors sense current in inverters and appliances

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PBx0fJ
via Yuichun

A new route to self-heating clothing

As a way to turn cloth into heating elements for self-warming clothing, engineers at Rutgers University in the US have used high-intensity light pulses to fuse silver nano-wires onto polyester fibres – something called intense pulsed-light scintering. While delivering sufficient energy to bond the silver wires to the cloth fibres, the underlying polymer is not ...

This story continues at A new route to self-heating clothing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2GfMFCd
via Yuichun

Folding drone flies through small holes

A drone that can fold-up during flight to get through narrow gaps has been created by the University of Zurich. Servo-driven sideways folding hinges allow it to adopt normal, long-thin and compact configurations and, crucially, its real-time control system adjusts rotor thrust to retain controlled stable flight as morphing shifts the center of gravity. “Our ...

This story continues at Folding drone flies through small holes

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2rBtFDL
via Yuichun

Binary Bots from Farnell

Premier Farnell is to distribute the Binary Bots range of educational robots. BinaryBots specialise in developing educational robot starter kits to help teachers make Physical Computing and core STEM principles simple and fun for children aged eight years old and above. With a strong focus on accessibility and affordability, their products include a ‘Cardboard2Code’ beginners range<https://ift.tt/2EhdmUk;, ...

This story continues at Binary Bots from Farnell

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2EupzWu
via Yuichun

2018年12月12日 星期三

SST embeds NOR flash in Hynix 110nm foundry process

Silicon Storage Technology (SST) is to work with Hynix System IC on embedding NOR memory in Hynix’s 110nm CMOS process. SST, now a subsidiary of Microchip,  calls its embedded NOR ‘SuperFlash’. SST claims that SuperFlash delivers low-power, high reliability, fast erase, and superior data retention and endurance for a range of applications, such as IoT, smart ...

This story continues at SST embeds NOR flash in Hynix 110nm foundry process

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PAb84t
via Yuichun

Intel debuts Foveros

Intel has cone up with a packaging technology called  ‘Foveros’. Foveros ‘paves the way for devices and systems combining high-performance, high-density and low-power silicon process technologies,’ says Intel, ‘Foveros is expected to extend die stacking beyond traditional passive interposers and stacked memory to high-performance logic, such as CPU, graphics and AI processors.’ Intel adds that ...

This story continues at Intel debuts Foveros

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2zWxRTb
via Yuichun

AVX adds 2.55mm profile IDC

AVX has added a new part to its 9176-800 Series low-profile insulation displacement connectors (IDCs) — the first and currently only industrial IDCs available in a 2.55mm profile — to accommodate an additional wire gauge and further expand application suitability. Now available in one to four positions in each of four wire gauges, the series’ ...

This story continues at AVX adds 2.55mm profile IDC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PwTP4p
via Yuichun

EEVblog #1153 – 1970’s Programmable Calculator Teardown

EEVblog #1152 – 240V-120V = Magic Smoke!

Jacarem signs franchise for EDAC connectors

Connector distributor and cable assembly manufacturer, Jacarem, has signed a franchise distribution agreement with interconnect specialist, EDAC. The latter provides card edge, rack and panel, RJ45 and telecomms jacks, USB and FireWire, waterproof connectors and PLCC sockets. MH Connectors, which manufactures connectors, hoods and covers, is also part of the EDAC group. The D-sub hoods ...

This story continues at Jacarem signs franchise for EDAC connectors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2RV63Wn
via Yuichun

600V super-junction mosfets optimised for soft switching

STMicroelectronics’ MDmesh M6 600V super-junction transistors are aimed at medium-power resonant and hard-switching converter topologies. There are 37 part numbers covering 13 to 72A, with threshold voltage optimised for soft switching, suiting the transistors LLC resonant converters and boost-PFC converters. Rds(on) to as low as 36mΩ. For hard-switching topologies, the capacitance profile is claimed to enhance ...

This story continues at 600V super-junction mosfets optimised for soft switching

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LaQY0e
via Yuichun

Putting the boot into Industry 4.0 education

Students in the School of Mechanical and Materials Engineering at University College Dublin can learn production technologies for Industry 4.0, or the smart factory, with hands-on experience via an automated factory demonstration unit, donated by Maxim Integrated Products. The football factory demo was popular at exhibitions around Europe, where it was used to showcase the ...

This story continues at Putting the boot into Industry 4.0 education

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QMsrUA
via Yuichun

Gyrfalcon launches AI accelerator with eMRAM.

Gyrfalcon Technology, the 11-month-old Milpitas specialist in AI accelerator ICs, has announced commercial availability of a 22nm ASIC with embedded MRAM called the LightspeeurÒ 2802M. Gyrfalcon claims the chip is the industry’s first AI Accelerator to use MRAM. The chip has a density of  50 F and and a read speed of 45-60ns. It has 40MB of memory, which can support ...

This story continues at Gyrfalcon launches AI accelerator with eMRAM.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Gf62LL
via Yuichun

Picture Gallery: Elektra Awards 2018 Attendees – The after-party (III)

Check out the last of our Elektra Awards 2018 galleries, featuring the the after-dinner party – can you spot yourself or your colleagues?

This story continues at Picture Gallery: Elektra Awards 2018 Attendees – The after-party (III)

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SCunw0
via Yuichun

Compound semiconductor Catapult gets CTO

A CTO has been appointed for the fledgling Compound Semiconductor Applications (CSA) Catapult growing in Cardiff. It is Martin McHugh, who most recently headed up business and technology development for Microsemi’s (now part of Microchip) advanced packaging business. “He brings with him a wealth of technology experience having worked in technical and commercial roles with responsibilities covering the ...

This story continues at Compound semiconductor Catapult gets CTO

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QMrlZ3
via Yuichun

Picture Gallery: Elektra Awards 2018 Attendees – The awards dinner (II)

Check out our gallery of Elektra Awards guests – can you spot yourself or your colleagues among this year’s party people? More to follow shortly.

This story continues at Picture Gallery: Elektra Awards 2018 Attendees – The awards dinner (II)

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SCumIs
via Yuichun

LED for crop scanning

Osram is aiming at farmers, horticulturists and viticulturists with a broad-band near-infra-red LED for in-field reflection spectroscopy. “One of the most important decisions that farmers and vineyard owners have to make involves getting the timing right for the harvest,” it said. “Simply scanning fruit or cereal crops with the near-infra-red LED installed in a smartphone ...

This story continues at LED for crop scanning

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2UDr2in
via Yuichun

Toshiba adds to dual H-bridge motor driver ICs

Toshiba has added to its line-up of dual H-bridge driver ICs for DC brushed motors and stepping motors. The TC78H653FTG delivers the low voltage (1.8V) and high current (4.0A) essential for motor-based equipment powered by dry-cell batteries such as mobile devices, electronic products for the home and USB drives. The chip suitable for applications including ...

This story continues at Toshiba adds to dual H-bridge motor driver ICs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2GcUfNI
via Yuichun

Power trends according to GaN Systems.

GaN Systems identifies four trends for the power industry in 2019. Electric Vehicles and Autonomous-Driving Vehicles Mobility as a Service (MaaS) will gain more mind share. Change in the social relationship between individuals and vehicles will continue to move from individual ownership to convenient on-demand use. On-demand itself will start to evolve to increasingly include fleets ...

This story continues at Power trends according to GaN Systems.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2G877ES
via Yuichun

2018年12月11日 星期二

2018 SEMI equipment sales hit record $62bn but set to fall 4% in 2019

Sales of new semiconductor manufacturing equipment are projected to increase 9.7 percent to $62.1 billion in 2018, says SEMI, exceeding the historic high of $56.6 billion set last year. The equipment market is expected to contract 4.0 percent in 2019 but grow 20.7 percent to reach $71.9 billion in 2020 – an all-time high.   ...

This story continues at 2018 SEMI equipment sales hit record $62bn but set to fall 4% in 2019

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2rvRKvZ
via Yuichun

Imec makes progress on GAA transistors

Imec reports significant progress in process enabling the introduction of gate-all-around (GAA) transistors with vertically stacked nanowires and nanosheets for the N3 technology node. Results include improved Si GAA devices, better understanding of strain engineering in Ge nanowire pFETs, and a comprehensive understanding of reliability and degradation mechanisms of nanowire FETs. GAA MOSFETs are promising ...

This story continues at Imec makes progress on GAA transistors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2GdGxKv
via Yuichun

Mouser signs MonoDAQ

Mouser has signed the data acquisition products specialist MonoDAQ to a global distribution agreement. The MonoDAQ product line  includes four data acquisition products. The MonoDAQ-U-X data acquisition tool is designed to help engineers with daily measurement tasks, serving as any of several discrete tools, such as a strain gauge amplifier, thermocouple interface, and voltage input, with ...

This story continues at Mouser signs MonoDAQ

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ryZ4Hg
via Yuichun

afdec/ecsn predicts 3.4-8.5% growth in 2019

The  UK & Ireland electronic component market will grow between 3.4% and 8.5% in 2019, forecasts afdec, which is part of  the Electronic Components Supply Network (ecsn), with a mid-point of 5.9%. The 2018 market is likely to have grown by 9.2% in 2018,  with distribution taking 41% of the TAM. The afdec/ecsn consensus opinion is that ...

This story continues at afdec/ecsn predicts 3.4-8.5% growth in 2019

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ROFOAP
via Yuichun

Tiny solar cells integrated into cloth at Nottingham Trent

Nottingham Trent University has developed a way to embed miniature solar cells into yarn that it said allows them to be knitted and woven into textiles: “The cells are encapsulated in a resin which allows the textile fabric to be washed and worn like any other form of clothing.” “Clothing would look and behave like ...

This story continues at Tiny solar cells integrated into cloth at Nottingham Trent

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QnXl6i
via Yuichun

Siglent 2Gsample/s scope offers 350MHz bandwidth

Siglent is aiming at analogue circuit design and service departments with 2Gsample/s oscilloscopes. Available with a choice of 200 or 350MHz bandwidth, the SDS2000X-E – where the trailing E stands for economy models, said the firm. 28Mpoint of acquisition memory is available as standard, as is an update rate of up 110,000waveform/s. “Low noise front ...

This story continues at Siglent 2Gsample/s scope offers 350MHz bandwidth

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2BaI3r5
via Yuichun

Industrial grade cellular comms SIM is 2.5 x 2.7mm for IoT and M2M

Infineon is claiming a first, with an industrial-grade embedded SIM (eSIM) in a 2.5 x 2.7mm wafer-level chip-scale package (WLCSP). It is aimed at machine-to-machine comms and IoT applications, for example vending machines, remote sensors and asset trackers. “Providing robust quality on a miniature footprint that works even under harshest conditions remains a challenge for silicon ...

This story continues at Industrial grade cellular comms SIM is 2.5 x 2.7mm for IoT and M2M

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2EnqNTJ
via Yuichun

Mouser signs NDK

Mouser has signed a global distribution deal with NDK,  the manufacturer of crystal timing devices. The NDK product line includes NX1612AA and NX3225SA crystal timing units. The NX1612AA devices are 24 MHz to 80 MHz surface-mount units that consume just 10µA with a load capacitance of 8 pF. The 1.6 mm × 2.1 mm devices are suitable ...

This story continues at Mouser signs NDK

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PvRUgn
via Yuichun

2018年12月10日 星期一

Qualcomm data centre business withers away

Qualcomm’s data centre chip business, which had over 1000 employees earlier this year, is now down to 50 after the latest round of cuts of 269 people in San Diego and Raleigh, North Carolina, reports The Information. “Qualcomm is reducing our investments in the data center business but remains committed to business obligations and upcoming ...

This story continues at Qualcomm data centre business withers away

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SzHRso
via Yuichun

Imec demo-es 3D stacked finfets was

Imec has demo-ed 3D stacked FinFETs on 300mm wafers using a sequential integration approach with a 45nm fin pitch and 110nm poly pitch technology. The top layer consists of junction-less devices fabricated at a temperature below 525 degrees Celsius in a silicon layer transferred by wafer-to-wafer bonding. The excellent performance of the resulting stack demonstrates ...

This story continues at Imec demo-es 3D stacked finfets was

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QIltjs
via Yuichun

Vayyar launches Walabot-60GHz mmWave EVK.

Vayyar Imaging, the 3D radar imaging specialist, technology​, has launched of its new mmWave Evaluation Kit (EVK), Walabot-60Ghz. Walabot-60Ghz provides users with the groundbreaking capabilities of Vayyar’s high-resolution 3D imaging chip, alongside an SDK/API, facilitating development, integration and scaling of products. The kit includes a chip with a 40 Transceivers array (40 Tx/Rx), complete with ...

This story continues at Vayyar launches Walabot-60GHz mmWave EVK.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2EbKVY2
via Yuichun

UK motor company installs instrument-grade torque sensors

Devon-based Ashwoods Electric Motors has chosen torque sensors from Isle-of-Wight-based Datum for its suite of automated dynamometers, which Ashwoods designed in-house. “The team wanted a relatively small torque sensor that could fit into their existing dynamometers to ensure the electric motor operate at optimal efficiency,” claimed Datum. Chosen was the M425 sensor, which has a wide-enough operation ...

This story continues at UK motor company installs instrument-grade torque sensors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SD2BiW
via Yuichun

ST puts phase-change memory in MCUs

ST is sampling automotive MCUs containing phase-change memory made on a 28nm FDSOI process. Full technology qualification is expected in 2020. “Having applied ST’s process, design, technology, and application expertise to ePCM, we’ve developed an innovative recipe that makes ST the very first to combine this non-volatile memory with 28nm FD-SOI for high-performance, low-power automotive ...

This story continues at ST puts phase-change memory in MCUs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QryY81
via Yuichun

Mouser signs Terabee

Mouser has signed a global distribution agreement with Terabee, designers of small, high-performance distance sensors for drone, robotics and IoT applications. Through the agreement, Mouser is now shipping a variety of Terabee’s TeraRanger sensors. The TeraRanger Evo distance sensors measure a single axis and return distance values in millimeters at high speed. The 29 mm ...

This story continues at Mouser signs Terabee

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QkU3ki
via Yuichun

NPL teams up with Manchester graphene centre

The National Physical Laboratory (NPL) is to be a partner of The University of Manchester’s Graphene Engineering Innovation Centre (GEIC). GEIC, officially launching next week, is the University’s second graphene facility – the other is the National Graphene Institute (NGI). “Together the two centres cement Manchester’s position as the home of graphene fifteen years after the ...

This story continues at NPL teams up with Manchester graphene centre

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QMoz5W
via Yuichun

John Lewis trials Small Robot

The John Lewis Partnership has announced a three-year trial with the Small Robot Company to test its revolutionary farmbots at the company’s farm in Leckford, Hampshire. The Leckford Estate, which grows produce for Waitrose & Partners including mushrooms, rapeseed, apples and many other products, will see three small robots – Tom, Dick and Harry – ...

This story continues at John Lewis trials Small Robot

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Qki0s1
via Yuichun

2018年12月9日 星期日

STT-MRAM performance fits HPC last-level cache

Imec has presented the first power-performance-area comparison between SRAM- and SST-MRAM-based last-level caches at the 5nm node. The analysis, based on design-technology co-optimization and silicon verified models, reveals that STT-MRAM meets the performance requirements for last-level caches in the high-performance computing domain. Moreover, for larger memory densities, significant energy gains are found for SST-MRAM compared to ...

This story continues at STT-MRAM performance fits HPC last-level cache

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2G4kw0G
via Yuichun

Material thickens as it stretches

Leeds University researchers has developed a synthetic material that becomes thicker at the molecular level as it is stretched. Liquid Crystal Elastomers (LCEs) have completely new properties when they are linked with polymer chains to form rubbery networks. “Our results demonstrate a new use for liquid crystals beyond the flat screen monitors and televisions many ...

This story continues at Material thickens as it stretches

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2RNZnt8
via Yuichun

2018年12月7日 星期五

Liquid silicon for grid-scale energy storage

White-hot liquid silicon could be the key to storing the vast amounts of energy needed to run a renewables-based national power grid, according to MIT, which claims it “would be vastly more affordable than lithium-ion batteries” and “would cost about half as much as pumped hydroelectric storage, the cheapest form of grid-scale energy storage to ...

This story continues at Liquid silicon for grid-scale energy storage

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PpxLby
via Yuichun

Electrolyte found for room-temperature fluorine-ion batteries

Room-temperature fluorine-ion batteries are possible, according to computer simulations by a team of scientist in the US – potentially leading to an anion-based rechargeable battery to trump cation-based technology like Li-ion. “Fluoride-based battery electrodes can store more ions per site than typical lithium-ion electrodes, which means that this technology has the capability to be much more ...

This story continues at Electrolyte found for room-temperature fluorine-ion batteries

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PqGEld
via Yuichun

A view from the RISC-V Summit

The RISC-V Summit was held in Santa Clara, California, USA this week (3 – 6 December). The industry looked ahead to the potential of the open architecture and was a platform for the providers of core IP and development tools that make up its ecosystem. There were two announcements from IAR Systems in support of ...

This story continues at A view from the RISC-V Summit

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2L1P7Lk
via Yuichun

2D material photo sensor deposited on optical fibre

Polydimethylglutarimide (PMGI) is the key to positioning sensors made from 2D materials permanently onto curved surfaces, according to Rice University in Texas. Its research team was seeking a sacrificial layer – one on which 2D materials could be made, then used as a carrier film for transport to the target surface, while disappearing once the ...

This story continues at 2D material photo sensor deposited on optical fibre

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2SxMjbq
via Yuichun

Picture Gallery: Elektra Awards 2018 Attendees – The reception (I)

Can you spot yourself or your colleagues among this year’s party people?

This story continues at Picture Gallery: Elektra Awards 2018 Attendees – The reception (I)

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2BXhCXI
via Yuichun

NGMN presses 5G strategy on Juncker

The industry body the Next Generation Mobile Network Alliance (NGMN) has written to the President of the EC Jean-Claude Juncker advocating a strategy for 5G. Here it is: To: European Commission President Juncker Vice-Presidents Timmermans, Ansip, Katainen and Šefčovič, Commissioners Bulc, Gabriel, Bieńkowska, Moedas, Vestager and Oettinger Cc. Secretary-General of the European Commission From: NGMN ...

This story continues at NGMN presses 5G strategy on Juncker

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2BW2da1
via Yuichun

Qualcomm launches 7nm ARM-based PC processor

Qualcomm is sampling a 7nm PC processor based on ARM  called Snapdragon 8cx. It is expected to ship in commercial devices in Q3. The chip contains Qualcomm’s  Adreno 680 GPU and doubles  the memory interface from 64 bit to 128 bit wide. “We’re bringing 7nm innovations to the PC space, allowing for smartphone-like capabilities to ...

This story continues at Qualcomm launches 7nm ARM-based PC processor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2G7J7BN
via Yuichun

Deep Ray focuses problematic images

Cambridge Consultants has developed an AI technology that creates clear, undistorted views of the real-world from a damaged or obscured moving image. Based on recent advances in deep learning, DeepRay’s power to see clearly in difficult, unpredictable situations could transform numerous machine vision and imaging applications, from autonomous driving to empowering healthcare professionals with more ...

This story continues at Deep Ray focuses problematic images

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2rok0R9
via Yuichun

Semi industry to decline next year

The semiconductor industry will decline 4.3% next year, according to UBS, and 4.4% according to Statista. It will be the first time the industry’s sales have declined since 2015. However the decline will be from a record revenue year of $473 billion in 2018, says UBS. Driving the fall is the decline in the price ...

This story continues at Semi industry to decline next year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2L0qeQd
via Yuichun

2018年12月6日 星期四

Quantum computing ten years away – again

Quantum computing is still at least ten years away, says the US National Academies of Sciences, Engineering, and Medicine in a report called Quantum Computing: Progress and Prospects. ‘Given the current state of quantum computing and recent rates of progress, it is highly unexpected that a quantum computer that can compromise RSA 2048 or comparable ...

This story continues at Quantum computing ten years away – again

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2QjKfHk
via Yuichun

Chan-Zuckerberg chooses Imec to research neurodegenerative diseases

Imec has been selected by the Chan-Zuckerberg Zuckerberg Initiative (CZI) to engage in its Neurodegeneration Challenge Network. This network brings together experimental scientists from diverse biomedical research fields, as well as computational biologists and physicians, to understand the underlying causes of neurodegenerative disorders. ’The causes of most neurodegenerative diseases are only partly understood, and there ...

This story continues at Chan-Zuckerberg chooses Imec to research neurodegenerative diseases

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2RHR6a4
via Yuichun

Cadence ties with UK design house for 7nm

Moortec is a Plymouth-based intellectual property company, concentrating since 2010 in the specialist world of on-die self-monitoring circuits for customer SoCs – blocks that allow chip manufacturers to keep an eye on process variation, as well as providing feedback for operational dynamic frequency scaling and dynamic voltage scaling, and assessing silicon die aging. Starting with ...

This story continues at Cadence ties with UK design house for 7nm

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2EhIjZy
via Yuichun

Google’s Flutter mobile toolkit targets both iOS and Android

Google launches Flutter 1.0, a mobile UI toolkit for creating embedded graphic components for both iOS and Android

This story continues at Google’s Flutter mobile toolkit targets both iOS and Android

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2KZ6lZG
via Yuichun

Picture Gallery: Elektra Awards 2018 – The Winners

We have already revealed the winners, but see also this picture gallery from the event.

This story continues at Picture Gallery: Elektra Awards 2018 – The Winners

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Qk545h
via Yuichun

2Mbit/s (NRZ) opto-coupler with Schmitt trigger

Vishay has introduced a series of 1Mbaud (2MHz NRZ) optocouplers with built-in Schmitt trigger and open collector output. Called the VOH1016A series, the typical input current for output turn-on is 650μA (2mA max required) with guaranteed on-off threshold hysteresis – I(off)/I(on) is between 0.5 and 0.95, typically 0.9 (Vcc 5V, 280Ω load). Output stage supply voltage ...

This story continues at 2Mbit/s (NRZ) opto-coupler with Schmitt trigger

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2rpMYA8
via Yuichun

RRAM-based TCAM ICs can handle large data sets, says Leti.

RRAM-based ternary-content addressable memory (TCAM) circuits can meet the performance and reliability requirements of multicore neuromorphic processors, says Leti.  TCAM circuits provide a way to search large data sets using masks that indicate ranges. These circuits are, therefore, ideal for complex routing and big data applications, where an exact match is rarely necessary. TCAM circuits ...

This story continues at RRAM-based TCAM ICs can handle large data sets, says Leti.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2UkEYxy
via Yuichun

2018年12月5日 星期三

Zeno 1T/2T Bi-SRAM scales to 14nm

Zeno Semiconductor has demonstrated the scalability of its 1-transistor/2-transistor Bi-SRAM (bi-stable, intrinsic bipolar) memory technology to 14nm and 16nm FinFET technology nodes from multiple foundries. The demo follows previous implementation of Bi-SRAM technology in 28nm technology node. Zeno’s 1T/2T Bi-SRAM uses a single transistor as the memory bitcell and is therefore 3x-5x smaller than conventional ...

This story continues at Zeno 1T/2T Bi-SRAM scales to 14nm

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2E4GXAs
via Yuichun

Six months of over-capacity on 7nm at TSMC

TSMC will have over-capacity on 7nm for the first half of next year, reports the Chinese language newspaper Commercial Times. The reason stated is a cut-back in mobile phone IC orders from HiSilicon, Qualcomm and Apple. The mobile phone industry has plateaued for two years and volumes are expected to decline as phone prices go ...

This story continues at Six months of over-capacity on 7nm at TSMC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2UlxZEG
via Yuichun

You’re Welcome

SEMI has set out to tackle the workforce gap of up to 10,000 industry positions across the globe. The campaign, You’re Welcome, speaks to how fundamental, yet underappreciated, this technology is. It includes a suspenseful, action-filled movie trailer that shows what happens when scientists, engineers, and mathematicians make semiconductors to save the world from the brink of ...

This story continues at You’re Welcome

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2AW9hl9
via Yuichun