2016年9月30日 星期五

EEVblog #929 – Designing A Better Multimeter

Dave looks at the current measurement front end of a typical multimeter and figures out how to redesign it for lower burden voltage.
It’s not quite as easy as you might think, there are comprimises and traps for your players.
And something you probably didn’t know about HRC fuses.

µCurrent ARTICLE
Multimeter fuse and diode protection VIDEO
Multimeter input protection tutorial VIDEO

Forum HERE



from EEVblog http://ift.tt/2duUqkn
via Yuichun

Tablet, Phone Makers Get Behind Universal Stylus Spec

Use of USI standard (1.0), developers believe, will dramatically expand to use of stylus-dependent applications.

from EETimes: http://ift.tt/2cRI8oy
via Yuichun

Why, Windows 10, Why?

Does your computer run the Windows 10 operating system? Have you noticed that it always seems to be busy when you aren't doing anything?

from EETimes: http://ift.tt/2dC4ltp
via Yuichun

X-Fab to buy assets of Altis

X-FAB Silicon Foundries has today announced that it will acquire the assets of Altis Semiconductor, a specialty stand-alone foundry located in the Greater Paris area, out of insolvency proceedings. With both companies serving complementary markets and applications, this acquisition roughly doubles the company’s 8-inch capacity to meet the growing demand for its manufacturing technologies, as ...

Read full article: X-Fab to buy assets of Altis



from News – Electronics Weekly http://ift.tt/2dsGveu
via Yuichun

Retro & Electro at Paris Auto Show

Despite rampant tech talk about software-driven cars, the Paris Auto Show has revealed automakers comfortable occupying a parallel universe -- where luxury trumps autonomy, where they still describe a new car's styling as if it were a sensuous wine.

from EETimes: http://ift.tt/2dwSB7u
via Yuichun

60V, 4A Monolithic Buck Regulator w/ Rail-to-Rail Programmable Output and Integrated Current Monitoring

The LTC3649 step-down regulator combines key features of a high voltage buck regulator with a complete feature set that allows for ease of use in a variety of applications.

from EETimes: http://ift.tt/2dsAGxC
via Yuichun

Look inside a battery while it charges

New York University scientist have used MRI scanning to view inside batteries “One challenge we wanted to solve was to make the measurements 3D and sufficiently fast, so that they could be done during the battery-charging cycle,” Said Professor Alexej Jerschow. “This was made possible by using intrinsic amplification processes, which allow one to measure small ...

Read full article: Look inside a battery while it charges



from News – Electronics Weekly http://ift.tt/2dwEa7E
via Yuichun

2,000 qubit quantum computer next year?

D-Wave Systems has released some more details about its proposed 2,000qubit quantum computer, at the company’s inaugural users group conference in New Mexico. The processor, intended to available next year, has doubles the number of qubits over the previous generation D-Wave 2X system, which was available for shipping in August last year. “The new system ...

Read full article: 2,000 qubit quantum computer next year?



from News – Electronics Weekly http://ift.tt/2cGoN6C
via Yuichun

Plessey puts sensors in cars to monitor drivers

Plessey has teamed up with French firm Segula Technologies to demonstrate a heart-rate based driver alertness monitoring system at next week’s Paris Motor show. The sensors are integrated into the seatback, and provide earlier warning of drowsiness or health issues than systems based on eye or head movement. The UK-based firm’s sensing technology, known as ...

Read full article: Plessey puts sensors in cars to monitor drivers



from News – Electronics Weekly http://ift.tt/2cFITTr
via Yuichun

Arrow and Electric Imp spot big industrial IoT opportunity

Arrow has signed an agreement with IoT secure connectivity specialist Electric Imp to sell its IoT platform across Europe. Electric Imp’s platform includes full-life cycle secure connectivity, interoperability and managed operations. It can be used to move from a prototype to secure production and then to maintenance and update of field-deployed devices for years. Electric ...

Read full article: Arrow and Electric Imp spot big industrial IoT opportunity



from News – Electronics Weekly http://ift.tt/2diuKJO
via Yuichun

Taiwan government starts taking applications from banks for Apple Pay

Taiwan's Financial Supervisory Commission has started accepting aplications from banks for providing Apple Pay mobile payment services and Taiwan users will be able to apply for using Apply Pay at the end of 2016 at the earliest.

from DIGITIMES: IT news from Asia http://ift.tt/2dw6GpU
via Yuichun

Connected car production to grow rapidly over next 5 years, says Gartner

Connected car production is growing rapidly in both mature and emerging automobile markets, according to the latest forecast by Gartner. The production of new automobiles equipped with data connectivity, either through a built-in communications module or by a tether to a mobile device, is forecast to reach 12.4 million in 2016 and increase to 61 million in 2020.

from DIGITIMES: IT news from Asia http://ift.tt/2cG5drb
via Yuichun

Strong demand for gaming products boosts related ASP

Despite seeing dropping shipments, CPU, motherboard and graphics card ASPs still continued to enjoy growths recently thanks to increasing demand for gaming products. Players including Gigabyte Technology, Asustek Computer and Micro-Star International (MSI) have all benefited from the trend.

from DIGITIMES: IT news from Asia http://ift.tt/2dw5VNS
via Yuichun

Digitimes Research: Cellular IoT may have limited opportunities for mobile telecom carriers

As mobile communication markets are approaching saturation, cellular IoT is expected to bring new business opportunities and revenue growth for mobile telecom carriers. But development of cellular IoT may not be optimistic, mainly because ARPU for cellular IoT services will be much lower than for mobile communications. Nearly 50% of global cellular M2M (machine to machine) connected devices are concentrated in the US and China, according to Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/2cG4eqQ
via Yuichun

BASF to expand capacity

Germany-based chemical material supplier BASF is planning to expand its capacity and will establish a world-class acetylene production plant in Ludwighafen, Germany to replace its existing production equipment. The new system will begin operation at the end of 2019.

from DIGITIMES: IT news from Asia http://ift.tt/2dw6FCm
via Yuichun

TAICS to cooperate with US TIA on 5G, IoT

The Taiwan Association of Information and Communication Standards (TAICS) on September 29 signed a MoU with US Telecommunication Industry Association (TIA) for cooperation on development and application of 5G and IoT (Internet of Things) technologies, according to TAICS.

from DIGITIMES: IT news from Asia http://ift.tt/2cG5iuO
via Yuichun

China-based Lianluo to take up a majority stake in Newegg, says report

China-based mobile Internet service company Hangzhou Lianluo Information Technology (formerly Beijing Digital Grid Technology) plans to invest CNY1.77 billion (US$265.47 million) in cash to take up a 55.7% stake in US-based e-commerce operator Newegg, according to a China-based Beijing Business Today report.

from DIGITIMES: IT news from Asia http://ift.tt/2dw6GX8
via Yuichun

TSMC on Moore’s Law trail to 2nm

TSMC sees scaling as a fundamental strategy, according to co-CEO Mark Liu reported in Digitimes. Currently on 16nm, TSMC will be in volume production on 10nm by the end of this year, said Liu. In early 2017, TSMC starts risk production on 7nm. The company is currently engaged in 5nm process development, said Liu, and ...

Read full article: TSMC on Moore’s Law trail to 2nm



from News – Electronics Weekly http://ift.tt/2cFBvHJ
via Yuichun

2016年9月29日 星期四

Friday Quiz: Interconnects and Transmission lines

It doesn't take much in the way of frequency for interconnects to take on transmission-line characteristics.

from EETimes: http://ift.tt/2dvTwEW
via Yuichun

Samsung Display to decrease supply of notebook panels

Samsung Display, following the sale of a 5G TFT-LCD factory to China-based Truly Opto-Electronics in 2015, will shut down another 5G factory in 2017. 5G factories mainly produce notebook-use panels, meaning Samsung Display's supply of notebook panels will continue to decrease.

from DIGITIMES: IT news from Asia http://ift.tt/2dvTAol
via Yuichun

MLS to issue bonds to fund Ledvance acquisition

China-based LED packaging service provider MLS has revealed it will issue corporate bonds worth CNY2 billion (US$300 million) to fund the acquisition of Ledvance (LED + Advanced), the lamp business unit of Germany-based Osram.



from DIGITIMES: IT news from Asia http://ift.tt/2dbLk1n
via Yuichun

Innovation to keep Moore's Law alive, says TSMC co-CEO

TSMC will continue to innovate to keep Moore's Law alive, and maintaining the company's technology leadership is always a fundamental strategy, said TSMC co-CEO Mark Liu at a recent event in Hsinchu.

from DIGITIMES: IT news from Asia http://ift.tt/2dvTzR9
via Yuichun

Dialog provides Bluetooth connectivity for Pokemon GO Plus

Dialog Semiconductor has announced that the recently-launched Pokémon GO Plus features its SmartBond DA14580 Bluetooth low energy system-on-chip (SoC).

from DIGITIMES: IT news from Asia http://ift.tt/2dbK26N
via Yuichun

Nanya to invest NT$50 billion over next 2 years, says president

Taiwan-based DRAM maker Nanya Technology is looking to invest a total of NT$50 billion (US$1.6 billion) over the next two years to "enhance its product value rather than market share," according to company president Pei-Ing Lee.

from DIGITIMES: IT news from Asia http://ift.tt/2dvUoti
via Yuichun

DRAM spot prices hit 7-month high, says DRAMeXchange

DRAM spot market prices have risen to a 7-month high with prices for 4Gb DDR4 chips reaching US$2.02, according to DRAMeXchange.

from DIGITIMES: IT news from Asia http://ift.tt/2dbKxNZ
via Yuichun

Taiwan market: Smartphone shipments up 7% on month in August

Shipments of smartphones in the Taiwan market totaled 663,000 units in August, an increase of 44,000 units or 7% from the previous month, according to data compiled by local retail channels.

from DIGITIMES: IT news from Asia http://ift.tt/2dvTfSC
via Yuichun

China to lower PV feed-in tariffs for 2017, say reports

China's National Development and Reform Commission plans to decrease feed-in tariff for PV power-generating stations set up in 2017, with tentative reductions of 31.25% for category 1 areas, 26.14% for category 2 and 23.47% for category 3, according to China-based media reports.



from DIGITIMES: IT news from Asia http://ift.tt/2dbLgyT
via Yuichun

Taiwan IC industry to increase 7% in 2016, says TSIA chairman

Although the worldwide IC industry output value will decline 2.4% in 2016, the output value of Taiwan's IC industry will maintain growth, according to Nicky Lu, chairman of the Taiwan Semiconductor Industry Association (TSIA).

from DIGITIMES: IT news from Asia http://ift.tt/2dvUukF
via Yuichun

Qualcomm announces solutions for embedded computing, IoT applications

Qualcomm has introduced its Snapdragon 600E and 410E processors for embedded applications in many verticals such as digital signage, set-top boxes, medical imaging, point of sale systems, industrial robotics, and other IoT related applications.

from DIGITIMES: IT news from Asia http://ift.tt/2dbK0Md
via Yuichun

Posiflex Technology to issue convertible bonds, new shares

Own-brand POS (point of sale) device maker Posiflex Technology on September 29 decided to raise an estimated NT$1.65 billion (US$52.5 million) through issuing convertible bonds worth NT$1.2 billion and three million new shares, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/2dvU91i
via Yuichun

Tokuyama to sell Malaysia-based polysilicon factory to OCI

Japan-based Tokuyama will sell its polysilicon factory in Malaysia to South Korea-based OCI for US$98 million, with the transaction to be finished by March 31, 2017, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2dbKEZY
via Yuichun

15 Views from the Robot Frontier

The RoboBusiness conference showed industrial, service and educational robots and ingredients for them.

from EETimes: http://ift.tt/2dvmcSU
via Yuichun

Q'comm, NXP Discuss Merger, Say Reports

Qualcomm may be considering buying NXP Semiconductors for more than $30 billion according to multiple press and Wall Street reports.

from EETimes: http://ift.tt/2d9I0AN
via Yuichun

Identifying the Ideal Mechanical PCB Hardware for Electronic Systems

Sponsored by: Pentair/Schroff
With today’s diverse electronic systems applications, a variety of Printed Circuit Board (PCB) hardware and retainer solutions may be deployed to address a broad range of unique protection requirements. This white paper discusses the different types of PCB hardware solutions and their applications –– ranging from general electronic systems requiring basic board retention and placement to complex high-tension, clamping force applications found in high shock and vibration environments, such as industrial and transportation applications.

read more



from Components http://ift.tt/2ddEXbz
via Yuichun

Telecommunications: An Industry in the Midst of Transformation

Sponsored by: Pentair/Schroff
As more vendors in the datacom market adopt Open Compute Project (OCP) specifications, more opportunities are opened for OCP technology to be adopted by the telecommunication industry. System operators are looking to minimize costs, and while existing OCP designs offer a variety of cost and performance benefits, the telecom industry has unique requirements that require tailored solutions. This white paper focuses on different types of next generation OCP solutions for the telecommunication sector that strategically address this growing need.

read more



from Components http://ift.tt/2dDw8Ge
via Yuichun

Electronic Design’s Products of the Week (9/25-10/1)

read more



from Components http://ift.tt/2ddF6M2
via Yuichun

What's the Best Way to Depict 1-to-5 in PY (People Years)?

Can you think of a suitable graphic to contrast the life of a 20-year-old student with a 100-year timespan?

from EETimes: http://ift.tt/2dvfBDw
via Yuichun

Reinforce Design Reliability with Reed Relays

This article will help you maximize the reliability of your design when using reed relays, paying particular attention to contact abuse and “hot” versus “cold” switching.

read more



from Components http://ift.tt/2cZo7dx
via Yuichun

Maxim enables Industry 4.0

Maxim aims to increase manufacturing productivity with the Pocket IO programmable logic controller (PLC) development platform. The platform aims to deliver the smallest form factor and highest power efficiency for next-generation PLC designs. Lost productivity is a common concern for Industry 4.0 designers challenged with keeping a manufacturing line running 24 hours a day, 7 ...

Read full article: Maxim enables Industry 4.0



from News – Electronics Weekly http://ift.tt/2d9c1AA
via Yuichun

Free Webinar: Introducing the XLR8 FPGA-based Arduino Uno Clone

The FPGA-based XL8R has the same footprint as an Arduino Uno, but it runs like an Arduino Uno on steroids.

from EETimes: http://ift.tt/2dnTTnL
via Yuichun

Brain-sensing allows monkey to type at 12 words per minute

A monkey has copied text from the New York Times and Hamlet at up to 12 words per minute via a brain interface, claims Stanford University. The researchers are Processor Krishna Shenoy and Dr Paul Nuyujukian. “Our results demonstrate that this interface may have great promise for use in people,” said Nuyujukian (pictured). “It enables a ...

Read full article: Brain-sensing allows monkey to type at 12 words per minute



from News – Electronics Weekly http://ift.tt/2dafulN
via Yuichun

3D printing on a grand scale

There have been bigger things printed, but few will be as tough as an excavator cab printed in carbon fibre. A student engineering team from the University of Illinois at Urbana-Champaign won a design competition for the cab, and was on-hand to watched it being printed using carbon fibre-reinforced ABS (acrylonitrile butadiene styrene). The cab is ...

Read full article: 3D printing on a grand scale



from News – Electronics Weekly http://ift.tt/2dukRYd
via Yuichun

Custom Magnetics: When Do You Need Them?

For electronics designers, it's important to know what custom magnetics are, how to determine if they are needed, and how to engage with a custom magnetics supplier.

from EETimes: http://ift.tt/2cNYuyu
via Yuichun

Imagination sells loss-making Pure

Imagination Technologies Group, owners of PowerVR-brand graphics processors and MIPS-brand microprocessors, is to sell its Pure digital radio business. “The Pure business, with its assets and liabilities, is being sold on a cash and debt free basis to AVenture AT, an Austrian company, for £2.6m in cash,” said Imagination. “The buyer has also been granted ...

Read full article: Imagination sells loss-making Pure



from News – Electronics Weekly http://ift.tt/2dudYX1
via Yuichun

Unruly material tamed for on-chip tuning capacitors

Barium strontium titanate (BST) has been deposited on-die to produce tuning capacitors. Previously, the high-k dielectric has always been too lossy to be of use, according to researchers at University of California, Santa Barbara. “The catch is that the deposition of complex oxides, such as barium strontium titanate, is problematic because of the high temperatures and ...

Read full article: Unruly material tamed for on-chip tuning capacitors



from News – Electronics Weekly http://ift.tt/2doCbNl
via Yuichun

Consortium Forms Framework for Industrial Cybersecurity

The IIC's Security Framework provides a starting point for evolving an industry consensus on industrial IoT cybersecurity.

from EETimes: http://ift.tt/2cNSyG4
via Yuichun

CORNAMI's sea-of-cores solution may defuse data explosion

1U sea-of-cores server provides equivalent processing capabilities to 40 x 1U rack of traditional servers.

from EETimes: http://ift.tt/2cE6MFW
via Yuichun

CORNAMI's sea-of-cores solution may defuse data explosion

1U sea-of-cores server provides equivalent processing capabilities to 40 x 1U rack of traditional servers.

from EETimes: http://ift.tt/2dou6Zj
via Yuichun

GloFo joins 5G Lab

GlobalFoundries has joined the 5G Lab alliance which has about 50 members including Vodafone, National Instruments, Nokia, Rohde & Schwarz, NEC, Claas, Ericsson and Deutsche Telekom. GloFo intends to offer its FD-SOI process technology to the mix of technologies required to implement 5G. “We are pleased that we are now working with the 5G in ...

Read full article: GloFo joins 5G Lab



from News – Electronics Weekly http://ift.tt/2dGBXWk
via Yuichun

Toshiba adds to n-channel MOSFETs.

Toshiba has added two new N-channel devices to its U-MOS IX-H family of high-efficiency, high-speed switching MOSFETs. The TK3R1E04PL and TK3R1A04PL can help designers to improve performance and reduce power consumption in power supply applications such as DC-DC converters and the secondary side circuits of SMPS AC-DC power supplies. The TK3R1E04PL (TO-220 package) and TK3R1A04PL ...

Read full article: Toshiba adds to n-channel MOSFETs.



from News – Electronics Weekly http://ift.tt/2dtClIS
via Yuichun

100MHz to 40GHz log RMS power detector

Linear Technology is aiming at RF and microwaves with a log-responding RMS to DC converter chip which can achieve 1dB accuracy and 35dB dynamic range. Dubbed LTC5596, it responds at 29mV/dB from -37 to –2dBm, at better than ±1dB error over -40 to 125°C from 200MHz to 30GHz, with ±1dB flatness. Linear dynamic range is ...

Read full article: 100MHz to 40GHz log RMS power detector



from News – Electronics Weekly http://ift.tt/2dcP5Q2
via Yuichun

Fast-charging chip business booming, say IC vendors

The market of fast-charging chips is likely to reach one billion units a year soon due to a comprehensive adoption of fast charging technology by smartphones, tablets, notebooks, digital cameras, drones, wearable devices and other mobile power supply devices, according to an estimate of industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2d7qPzB
via Yuichun

Apple sets up first R&D center in China

Apple has set up its first R&D center in China in Beijing's Zhongguancun Science Park, according to a statement issued by the Zhongguancun Park Management Committee.



from DIGITIMES: IT news from Asia http://ift.tt/2dw9Z0c
via Yuichun

NXP establishing security standards for smart cars in China

At a recent tech forum in China, NXP announced key collaborations including an alliance to establish security standards for smart cars in China with cross-sector collaborations.

from DIGITIMES: IT news from Asia http://ift.tt/2d7r5yF
via Yuichun

Taiwan market: Online advertising services in 1H16 valued at over NT$11 billion, says DMA

Online advertising service providers in the Taiwan market generated total revenues of NT$11.195 billion (US$350 million) during the first half of 2016, increasing 32.1% on year, according to Digital Marketing Association (DMA) Taipei.

from DIGITIMES: IT news from Asia http://ift.tt/2dw9fYO
via Yuichun

Analog IC firm Leadtrend grows shipments for mobile devices

Leadtrend Technology has enjoyed rising shipments of fast-charging solutions for mobile devices, which boosted its August revenues to a record NT$126 million (US$4.02 million).

from DIGITIMES: IT news from Asia http://ift.tt/2d7pSYh
via Yuichun

2016年9月28日 星期三

Wistron NeWeb to post strong sales in 3Q16, says paper

Networking device supplier Wistron NeWeb is expected to post revenues of NT$13.5-14 billion (US$43.02-44.61 million) in the third quarter of 2016 with an EPS of NT$1.40-1.60, buoyed by orders from the IoV (Internet of Vehicles) and smart meter sectors, according to a Chinese-language Economic Daily News (EDN) report.

from DIGITIMES: IT news from Asia http://ift.tt/2cDooqv
via Yuichun

Sharp buys back office building in Japan

Sharp has spent JPY13.9 billion (US$138.07 million) to buy back an office building that was across the road from its old headquarters, and related land in Osaka, Japan. The transaction will be completed by October 11.

from DIGITIMES: IT news from Asia http://ift.tt/2dgbMn2
via Yuichun

IC design house ITE Tech looks to 12-15% sequential growth in 3Q16 revenues

Peripheral IC vendor ITE Tech is expected to see its revenues grow 12-15% sequentially in the third quarter of 2016 with EPS for the three-month period reaching NT$0.75-0.8, according to a Chinese-language Commercial Times report.

from DIGITIMES: IT news from Asia http://ift.tt/2cDpWAO
via Yuichun

Telecommunications and automotive players form 5G Automotive Association

Audi AG, BMW Group, Daimler AG, Ericsson, Huawei, Intel, Nokia and Qualcomm have announced the formation of the 5G Automotive Association. The association will develop, test and promote communications solutions, support standardization and accelerate commercial availability and global market penetration. The goal is to address society's connected mobility and road safety needs with applications such as connected automated driving, ubiquitous access to services and integration into smart cities and intelligent transportation.



from DIGITIMES: IT news from Asia http://ift.tt/2dgbU5L
via Yuichun

Foxconn subsidiary increases investment in mobile service company Mango

Foxconn Electronics (Hon Hai) has announced that Long Ample Limited, one of the subsidiaries under FIH Mobile, has invested an additional US$56.25 million to ramp up its stake in Hong Kong-based mobile service company Mango International to 12.19%.

from DIGITIMES: IT news from Asia http://ift.tt/2cDrvOU
via Yuichun

Kingston affiliate buys 70% of Innostor

Kingston Technology's flash controller affiliate ASolid Technology has acquired as high as 70% of fellow controller company Innostor Technology for NT$60 million (US$1.9 million).

from DIGITIMES: IT news from Asia http://ift.tt/2dgbQ6o
via Yuichun

Digitimes Research: Global average LTE-based data transfer speed rises unnoticeable

While the number of LTE networks around the world is steadily on the rise, improvements in LTE-based download speeds have been relatively unmoved; for example, the global average speed of 13.5Mbps in the fourth quarter of 2015 rose by only 3.1Mbps from three years ago based on surveys by UK-based OpenSignal, according to Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/2cDoFtn
via Yuichun

China market: Xiaomi launches Mi 5s, Mi 5s Plus, Mi TV 3s

Xiaomi Technology has launched its new flagship smartphone products, the Mi 5s and Mi 5s Plus along with the Mi TV 3s, the company's first TV with artificial intelligent (AI) capabilities.

from DIGITIMES: IT news from Asia http://ift.tt/2dgczEm
via Yuichun

Learning how to learn: Toddlers vs. neural networks

The future could hold some scary scenarios as well as extremely exciting possibilities that may enable humankind to achieve new heights.

from EETimes: http://ift.tt/2dumWHM
via Yuichun

Virtual Reality-Capable Notepad Computers

The folks at MSI have introduced some drool-worthy virtual reality-capable notepad (laptop) computers and PC backpacks.

from EETimes: http://ift.tt/2dfA3JG
via Yuichun

Carmakers, Telecom Players Join Forces on 5G

The "5G Automotive Association" was launched to develop, test and promote 5G communications solutions. Joining the forces include: Audi, BMW and Daimler, Ericsson, Huawei, Nokia and Intel and Qualcomm.

from EETimes: http://ift.tt/2dlcvkC
via Yuichun

Samsung Calls for New CPU Design

Samsung is working on a new processor architecture that leverages its dominance in memory, said a corporate strategist.

from EETimes: http://ift.tt/2cLdvkQ
via Yuichun

Topic Teardown: Fed's Robo-Car Policy

It's been a week since the Department of Transportation unveiled its ground-breaking policy on autonomous cars. Here are five issues in the Fed's policy that are likely to trigger hot debates among automakers during the coming comment period.

from EETimes: http://ift.tt/2cBAStS
via Yuichun

Qualcomm Mobilizes in Embedded Processors

Qualcomm puts interesting attributes into its embedded processors that will appeal to the embedded processor market.

from EETimes: http://ift.tt/2dkmw5o
via Yuichun

iPhone 7, No Headphone Jack Creates a Recipe for e-Waste

Apple's decision to remove the 3.5 mm headphone connector on the new iPhone 7, while continuing to use their proprietary Lightning connector, could mean more electronic waste as users discard their old headsets and many OEMs rush to develop new ones using Apple's connector.

from EETimes: http://ift.tt/2dzCMx1
via Yuichun

5 Challenges for 25G Ethernet

Here's a list of the five biggest challenges facing the new generation of 25G Ethernet products in hopes they will be addressed sooner rather than later.

from EETimes: http://ift.tt/2d6mYGk
via Yuichun

EDICON 2016: Resolution Bandwidth

The first EDICON, covering RF, Signal/power integrity, and EMI, was a bit flat. But then, it was the first in the U.S.

from EETimes: http://ift.tt/2dri4Pn
via Yuichun

ARM open source group address IoT software confusion

An open source software reference design for the design of secure IoT node device such as sensors and ARM controllers has been introduced by Linaro, developer of open source software for the ARM architecture. Linaro has worked with ARM, Canonical, Huawei, NXP, RDA, Red Hat, Spreadtrum, STMicroelectronics, Texas Instruments and ZTE on the new IoT ...

Read full article: ARM open source group address IoT software confusion



from News – Electronics Weekly http://ift.tt/2d3C8J6
via Yuichun

e2v signs GaN deal to cut weight in hi-rel designs

e2v has signed a supply agreement with gallium nitride power transistor manufacturer GaN Systems which will see the UK firm supplying power transistors to the aerospace and defense sectors. e2v specialises in supplying the hi-rel markets with semiconductors and evaluation boards. According to Mont Taylor, vice-president of business development at e2v: “Our aerospace and defence ...

Read full article: e2v signs GaN deal to cut weight in hi-rel designs



from News – Electronics Weekly http://ift.tt/2dChuSr
via Yuichun

TDK launches QM series AC-DC power supplies.

TDK has introduced its QM series of AC-DC power supplies – the first 1200W to 1500W rated modular series to have full MoPPs isolation, and claimed to have the lowest acoustic noise available on the market at that power level. Having both medical and industrial safety certifications, the QM is suitable for a wide range ...

Read full article: TDK launches QM series AC-DC power supplies.



from News – Electronics Weekly http://ift.tt/2dyMujg
via Yuichun

The Lego of computing

A DIY computer kit is being sold by London-based Kano. Kano has sold 150,00 kits to 80 countries including one to Steve Wozniak. Backers of Kano include Martin Sorrel of WPP, Lord O’Neill formerly of Goldman Sachs and HMG, Marc Benioff of SalesForce, and Index Ventures, Backing amounts to $19 million. Founder Alex Klein shares ...

Read full article: The Lego of computing



from News – Electronics Weekly http://ift.tt/2d8KIan
via Yuichun

Taiwan IC distributors 4Q16 sales to increase

Fourth-quarter revenues at Taiwan-based IC distributors including WPG, WT Microelectronics and Answer Technology (ANStek) will be driven by a seasonal pick-up in demand for smartphones and other mobile devices, as well as demand for automotive electronics and other end markets, according to the companies.

from DIGITIMES: IT news from Asia http://ift.tt/2cBJx4o
via Yuichun

JDI may release shares to Sharp, says paper

Japan Display (JDI), an LCD panel supplier for Apple, may release a portion of its shares to Sharp, now a subsidiary of Foxconn Electronics, allowing Foxconn to indirectly land display panel orders from Apple, according to a Chinese-language Economic Daily News (EDN) report.



from DIGITIMES: IT news from Asia http://ift.tt/2dexqYV
via Yuichun

Leading-edge leads the way in pure-play foundry growth, says IC Insights

Growth in pure-play foundry sales for 2016 is forecast to be almost entirely due to sub-40nm feature size device sales, according to IC Insights. The leading-edge sub-40nm pure-play foundry market is expected to surge 23% in 2016, increasing by a hefty US$3.6 billion.

from DIGITIMES: IT news from Asia http://ift.tt/2cBJCoE
via Yuichun

Nvidia CEO denies competing with partnering graphics vendors with Founder Edition

Nvidia CEO Huang Jen-hsun, in response to graphics card vendors' questioning Nvidia's launch of its GeForce GTX 1080 Founder Edition series saying it is looking to compete with partners in the high-end segment, clarified that the launch of Founder Edition is purely to solve problems in graphics card design, and said Nvidia maintains partnerships with graphics card vendors and will not step into own-brand graphics card sales.

from DIGITIMES: IT news from Asia http://ift.tt/2dexIz0
via Yuichun

TSMC orders equipment for NT$2.32 billion

Taiwan Semiconductor Manufacturing Company (TSMC) has purchased machinery equipment from Advanced Thermal Sciences (ATS), Tokyo Electron and Applied Materials for a total of NT$2.32 billion (US$73.8 million), according to a filing the company issued with the Taiwan Stock Exchange (TSE).

from DIGITIMES: IT news from Asia http://ift.tt/2cBJANy
via Yuichun

PTI buys wafer-level packaging equipment

Packaging and testing company Powertech Technology has purchased wafer-level packaging equipment from Ultratech SE Asia for NT$544 million (US$17 million), according to a company filing with the Taiwan Stock Exchange (TSE).

from DIGITIMES: IT news from Asia http://ift.tt/2dexmIB
via Yuichun

Foxconn to produce smartphones for OnePlus in India, says paper

Foxconn Electronics will begin to manufacture the OnePlus 3 smartphone for China-based vendor OnePlus in India in the fourth quarter of 2016, according to a Chinese-language Commercial Times report.

from DIGITIMES: IT news from Asia http://ift.tt/2cBJp4M
via Yuichun

Apple increasing part and component orders for iPhone 7, say touch panel makers

Apple has increased its part and component orders for iPhone 7 devices, with order visibility for the fourth quarter of 2016 to be 20-30% higher than expected, according to sources from Taiwan-based touch panel makers.



from DIGITIMES: IT news from Asia http://ift.tt/2dexkjM
via Yuichun

Brave C&H Supply to be listed on Taiwan OTC in October

Precision screen maker Brave C&H Supply will be listed on Taiwan's over-the-counter (OTC) bourse in late October, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/2cBJuph
via Yuichun

Taiwan market: Asustek Computer to launch ZenBook 3 series

Asustek Computer will launch the ultra-thin notebook series ZenBook 3 in the Taiwan market at retail prices from NT$48,000 (US$1,527) to nearly NT$70,000 on September 29, according to industry sources, which added that Asustek has launched the ZenBook 3 in China, Southeast Asian countries and European countries.

from DIGITIMES: IT news from Asia http://ift.tt/2dexoQN
via Yuichun

Prices for solar-grade monocrystalline, polycrystalline silicon wafers drop to US$0.68, US$0.50

China-based makers have lowered quotes for a M2-size solar-grade monocrystalline silicon wafer and polycrystalline silicon wafer to US$0.68 and US$0.50 respectively, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2cBMH7W
via Yuichun

Taiwan August manufacturing production index up on month, year, says MOEA

Taiwan recorded manufacturing production index (2011 as base year) of 112.50 for August 2016, increasing 2.27% on month and 8.35% on year, according to statistics released by the Ministry of Economic Affairs (MOEA) on September 27.

from DIGITIMES: IT news from Asia http://ift.tt/2dewsf2
via Yuichun

2016年9月27日 星期二

EEVblog #928 – Jaycar DMX RGB LED Lights Teardown

Inside the cheap arse Jaycar SL3467 “Rave” DMX controlled RGB LED disco party lights scored for $1 from the dumpster sale. Did Dave get ripped off?



from EEVblog http://ift.tt/2d8Qvei
via Yuichun

Advanced processing – processing at 40nm or below – accounts for half the revenues at TSMC and GloFo, says IC Insights. In 2016, 54% of TSMC’s 2016 revenue and 52% of GloFo’s revenues are expected to come from

Read full article: <40nm processing drives foundry sales



from News – Electronics Weekly http://ift.tt/2dyjKHs
via Yuichun

Si Labs sampling Gecko wireless module with Thread and ZigBee support

Silicon Labs is sampling a family of Wireless Gecko modules focused on mesh networking applications with support for ZigBee and Thread software.

Read full article: Si Labs sampling Gecko wireless module with Thread and ZigBee support



from News – Electronics Weekly http://ift.tt/2diJs1s
via Yuichun

GE Plugs into Industrial Internet

GE provided a look under the hood of its first major systems geared for the Industrial Internet of Things.

from EETimes: http://ift.tt/2d4IZ8t
via Yuichun

pMTJ Is Where the MRAM Action Is

Another emerging player is ramping up as another layer memory has presented itself as a destination for MRAM and other alternative technologies such as 3D XPoint

from EETimes: http://ift.tt/2dq9Lro
via Yuichun

Allied with Intel, CEA-Leti Shoots for Moon

CEA-Leti's CEA calls the new five-year research contract with Intel a "moonshot." Included in the research framework is for the French institute to provide technologies that enable the next-generation communicationo for Internet of Things.

from EETimes: http://ift.tt/2dh48KN
via Yuichun

UltraSoC licenses Imagination

Imagination Technologies has licensed the full range of UltraSoC semiconductor IP and software. The agreement allows Imagination customers to incorporate UltraSoC’s real-time trace and control capabilities into their SoC products, providing intimate visibility of the internal operation of the device with very little silicon overhead. It also enables Imagination to significantly enhance its development flow, ...

Read full article: UltraSoC licenses Imagination



from News – Electronics Weekly http://ift.tt/2cyC54Z
via Yuichun

Imec solar module has 17.8% conversion efficency

Imec, Karlsruhe Institute of Technology (KIT), and Zentrum für Sonnenenergie- und Wasserstoff-Forschung Baden-Württemberg (Centre for Solar Energy and Hydrogen Research, ZSW), today announced that they have fabricated a thin-film solar module stack made up of perovskite and Copper Indium Gallium Selenide (CIGS) with a conversion efficiency of 17.8%. The module surpasses the highest efficiencies of ...

Read full article: Imec solar module has 17.8% conversion efficency



from News – Electronics Weekly http://ift.tt/2czHHkf
via Yuichun

Kenmec secures more than NT$3 billion worth of automation equipment orders, says report

Kenmec Mechanical Engineering has a backlog of orders totaling more than NT$3 billion (US$95.5 million) on strong orders for automation equipment from China and Taiwan, according to a recent Chinese-language Economic Daily News (EDN) report.

from DIGITIMES: IT news from Asia http://ift.tt/2dgalqi
via Yuichun

2016年9月26日 星期一

Taiwan market: Xiaomi launches Redmi Note 4

Xiaomi Technology has launched its Redmi Note 4 smartphone in the Taiwan market, priced at NT$5,999 (US$191) unlocked.

from DIGITIMES: IT news from Asia http://ift.tt/2cH8x8H
via Yuichun

Worldwide security appliance market growth driven by strong UTM performance in 1H16, says IDC

The total security appliance market showed positive year-over-year growth in both vendor revenues and unit shipments for the second quarter of 2016, according to IDC. Worldwide vendor revenues in the second quarter increased 5.8% year over year to US$2.75 billion, and shipments grew 15.2% year over year for a total of 659,305 units.

from DIGITIMES: IT news from Asia http://ift.tt/2dfv75A
via Yuichun

AMOLED to surpass a-Si LCD as leading technology for small- to medium-size displays in 2016, says IHS

Revenues from active matrix (AM) small- to medium-size displays (9-inch or smaller), including TFT LCD, OLED, and electrophoretic displays (EPD), are expected to reach US$43.4 billion in 2016, according to IHS. Although revenue growth has been flat since 2015, small- to medium-size AMOLED display revenues are expected to reach US$14.3 billion in 2016, closing in on LTPS displays (US$14.7 billion) and surpassing a-Si ones (US$14 billion).

from DIGITIMES: IT news from Asia http://ift.tt/2cH8nOA
via Yuichun

Lithium battery makers demand price rise due to shortages

As demand for the traditional 18650 cylinder battery has been growing strong recently because of increasing notebook sales, upstream battery module makers have started asking for a double-digit percentage quote raise. Since most lithium battery makers have no plans to expand their notebook battery production capacity, shortages are unlikely to be resolved in the short term.

from DIGITIMES: IT news from Asia http://ift.tt/2dfw1PJ
via Yuichun

Apple still leads in smartwatch sales, but sector growth slow, says Kantar Worldpanel

As of July 2016, 15.2% of US consumers owned either a smartwatch or fitness band compared to 8.1% for the four major markets in Europe - the UK, Germany, France and Italy, according to the latest release of wearable device data from Kantar Worldpanel ComTech.

from DIGITIMES: IT news from Asia http://ift.tt/2cH9c9Z
via Yuichun

Potential of wireless charging technology: Q&A with WPC chairman Menno Treffers

To help foster the development of related wireless charging technology in Taiwan, the Wireless Power Consortium (WPC) has signed a memorandum of cooperation with Taiwan Association of Information and Communication Standards (TAICS). Together with local research institutions and businesses, WPC and TAICS aim to build up a wireless charging ecosystem in Taiwan through which local convenient stores, coffee shops, passenger buses, bus stations, tourist spots and shopping malls will be able to offer wireless charging service free of charge.

from DIGITIMES: IT news from Asia http://ift.tt/2dfvCg6
via Yuichun

Chilisin signs NT$3.5 billion syndicated loan

Chilisin Electronics, which manufactures inductors and power chokes, has announced the signing of a NT$3.5 billion (US$111.4 million) syndicated loan agreement with 10 local banks in Taiwan.

from DIGITIMES: IT news from Asia http://ift.tt/2cH8ERO
via Yuichun

Micron CEO visits Taiwan to meet Formosa executives

Micron Technology CEO Mark Durcan has paid a visit to Taiwan to meet executives at Formosa Plastics Group (FPG) raising speculation that Micron's planned acquisition of Inotera Memories could materialize.



from DIGITIMES: IT news from Asia http://ift.tt/2dfv6P4
via Yuichun

Foxconn could expand SiP business with Sharp chip unit

Foxconn Electronics (Hon Hai Precision Industry) is in the process of integrating Sharp into its group, including Sharp's chip unit, which is a difficult part to combine with. Nevertheless, industry sources have suggested Sharp's broad sensors and components solutions could be an important cornerstone in Foxconn's ambition in system-in-package (SiP) and other system-level packaging field.

from DIGITIMES: IT news from Asia http://ift.tt/2cH8wSb
via Yuichun

Rising notebook demand causing shortages in many components

With demand for notebooks stronger than expected and notebook component suppliers conservative about their shipments, several components including panel, battery and solid state drive (SSD), reportedly are suffering from shortages, according to sources from the upstream supply chain.

from DIGITIMES: IT news from Asia http://ift.tt/2dfvCfZ
via Yuichun

Taiwan 2Q16 TFT-LCD production value up on quarter, down on year, says PIDA

Taiwan-based TFT-LCD panel makers generated total production value of NT$164.135 billion (US$5.13 billion) in the second quarter of 2016, increasing 1.90% on quarter but decreasing 19.99% on year, according to Photonics Industry & Technology Development Association (PIDA).

from DIGITIMES: IT news from Asia http://ift.tt/2cH9nCj
via Yuichun

EEVblog #927 – Insane Jaycar Dumpster Sale!

The annual Jaycar warehouse sale, take it for a buck or it goes in the dumpster!
UPDATE: Yes, all the hard drives work.
Forum HERE



from EEVblog http://ift.tt/2dv5r6g
via Yuichun

Baidu Releases AI Benchmark

Calling for 100x faster processors, Baidu released DeepBench, a benchmark for how fast processors train neural networks.

from EETimes: http://ift.tt/2cx7lkK
via Yuichun

State-owned China foundry HLMC to build second fab

Shanghai Huali Microelectronics (HLMC), the foundry company majority-owned by Shanghai’s local government, is to build a second fab, reports Digitimes. The fab is expected to start running 28nm wafers in 2018 and be capable, when fully equipped, of running 40k wpm. HLMC’s Fab 1 is a 35k wpm fab running 65nm, 55nm, 40nm and 28nm ...

Read full article: State-owned China foundry HLMC to build second fab



from News – Electronics Weekly http://ift.tt/2dedlzW
via Yuichun

Chip Market to Go Down, says Future Horizons

Malcolm Penn, the founder and CEO of Future Horizons Ltd. and usually one of the most bullish of chip market forecasters, thinks 2017 could be a third year of decline for the global semiconductor industry.

from EETimes: http://ift.tt/2cPY7RJ
via Yuichun

7 Ways 3D Printing Adds to Supply Chain

Here are a few of the ways 3D printing is impacting the electronic supply chain.

from EETimes: http://ift.tt/2d3QMiN
via Yuichun

e-peas launches first chip

Power semiconductor start-up e-peas of Liege, whose ICs are aimed at increasing the amount of energy harvested by energy scavenging devices and reducing the energy consumption of power consuming blocks within wireless sensor nodes, has put its first chip on the market. The AEM10940 aims to maximize the efficiency of power-scavenging devices. The chip has ...

Read full article: e-peas launches first chip



from News – Electronics Weekly http://ift.tt/2dtHfl6
via Yuichun

Imagination 2.0 Update Ships

Imagination Technologies predicts profits this year with streamlined operations under a new CEO and thoughts of new core IP licensing models.

from EETimes: http://ift.tt/2cFvsBp
via Yuichun

Massive Sensor Fusion for Cars Is HERE

HERE's new live traffic/map offerings are the world's first services created from real-time sensor data from competing car brands. From technical perspectives, though, bigger issues include: how open the specifications will be for collected sensory data.

from EETimes: http://ift.tt/2cFtHnw
via Yuichun

Antenova tackles GNSS with FR4 antenna

Antenova of Hatfield has introduced a miniature antenna for global navifation systems (GNSS) such as GPS. Called Beltii (part SR4G013), it is 15.6 x 3.3 x 4.4mm and covers 1,559-1,609MHz. “The antenna has been cleverly designed to work over a very small ground plane on a small PCB, where it can be placed in a corner ...

Read full article: Antenova tackles GNSS with FR4 antenna



from News – Electronics Weekly http://ift.tt/2d3K4Jz
via Yuichun

Telco Revamp is a Soft Opportunity

Massive changes are taking place in telecom networks which will power growth in smartphone use and the next big things.

from EETimes: http://ift.tt/2cw0qs4
via Yuichun

Digitimes Research: China starts tightening up control on third-party payment service companies

The enactment of the administrative measures on non-financial institutions by the People's Bank of China (PBOC) in 2010 has since then resulted in a proliferation of more than 200 third-party payment service companies in China. However, a number of financial risk indexes in China have climbed along with the rapid expansion of the third-party payment market, according to Digitimes Times. To tight up its supervision and appraisal mechanism over the third-party payment service companies, as well as to put a break on the third-party payment market, the PBOC promulgated a set of administrative measures governing online payment by non-banking institutions in July 2016.

from DIGITIMES: IT news from Asia http://ift.tt/2cF3pSo
via Yuichun

Delta becomes core member of CharIN

Delta Electronics, a supplier of power and thermal management solutions, has announced it has become a core member of the Charging Interface Initiative e. V. (CharIN), an open coalition of world-class firms within the electric vehicle (EV) industry aiming to support and promote the Combined Charging System (CCS) as a global standard for EV charging.



from DIGITIMES: IT news from Asia http://ift.tt/2cZzXtc
via Yuichun

Nvidia GTC 2016 shows artificial intelligence innovations

Nvidia's GPU Technology Conference (GTC) 2016 opened on September 21 in Taiwan, with over 2,000 visitors' participating on the first day. During the conference, Nvidia CEO Jen-Hsun Huang gave a keynote, talking about GPU technology's capability and its role in artificial intelligent applications.

from DIGITIMES: IT news from Asia http://ift.tt/2dlwMYt
via Yuichun

Digitimes Research: TD-LTE development faces disadvantages

Development of TD-LTE, in comparison with that of FDD LTE, has been faced with some disadvantages, mainly because few globally first-tier mobile telecom carriers have adopted the technology, 80% of TD-LTE subscribers around the world are in China, and total bandwidth of frequency band units assigned for TD-LTE operation is 52.7% of that for FDD LTE operation, according to Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/2cZzreU
via Yuichun

Foxconn permitted to repair iPhone at subsidiary in Shanxi, China, says paper

Foxconn Electronics' (Hon Hai Precision Industry) subsidiary in Shanxi, China has been permitted by the local government to conduct maintenance services for Apple's iPhone products, according to a Chinese-language Economic Daily News (EDN) report.

from DIGITIMES: IT news from Asia http://ift.tt/2dlx5Cu
via Yuichun

China HLMC to build new 12-inch fab

Shanghai Huali Microelectronics (HLMC) is expected to announce by the end of 2016 the construction of its second 12-inch plant, which will directly enter 28nm production, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2cZyxzc
via Yuichun

SES-imagotag to acquire Pervasive Displays

France-based SES-imagotag and Taiwan-based Pervasive Displays (PDi) have signed a deal under which SES-imagotag will acquire 100% of PDi, according to the companies.

from DIGITIMES: IT news from Asia http://ift.tt/2dlxo0f
via Yuichun

Cadence, TSMC advance 7nm FinFET designs for mobile and HPC platforms

Cadence Design Systems has announced several important deliveries in its collaboration with TSMC to advance 7nm FinFET designs for mobile and high-performance computing (HPC) platforms.

from DIGITIMES: IT news from Asia http://ift.tt/2cZyW4y
via Yuichun

China market: 32- to 50-inch LCD TV prices up, says Sigmaintell

In the China market, average retail prices for 32- to 43-inch LCD TVs have risen about 10% since July 2016, 49- and 50-inch models about 5%, while those for above 50-inch ones have remained unchanged, according to China-based consulting company Sigmaintell.



from DIGITIMES: IT news from Asia http://ift.tt/2dlydG8
via Yuichun

Taiwan market: Lite-On Technology to supply over 150,000 LED street lamps

Lite-On Technology has won several Taiwan local governments' open-bid procurements of over 150,000 LED street lamps to become the largest supplier, followed by Everlight Electronics and Delta Electronics, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2cZzes1
via Yuichun

E Ink to end LCM production

E-paper maker E Ink Holdings (EIH) has disclosed that in order to reduce personnel cost, it will stop producing small- to medium-size LCD modules (LCMs) at its 2.5G factory in northern Taiwan at the beginning of October 2016, and devote it fully technological R&D.

from DIGITIMES: IT news from Asia http://ift.tt/2dlybhF
via Yuichun

2016年9月25日 星期日

SiTime launches MEMS-based Elite Platform oscillators

SiTime is launching MEMS oscillators which claim to deliver: • 30 times higher dynamic performance for small cells, microwave backhaul, Synchronous Ethernet and optical equipment • 10 times better dynamic stability, 1 ppb/°C, which replaces costly OCXOs in IEEE 1588 applications • 20 times greater vibration resistance which ensures continuous system operation • 30 times ...

Read full article: SiTime launches MEMS-based Elite Platform oscillators



from News – Electronics Weekly http://ift.tt/2d2opkV
via Yuichun

Long-Living Products Defy Conventional Wisdom

Winning products can have viable production lifetimes of decades, despite our "here today, obsolete tomorrow" thinking.

from EETimes: http://ift.tt/2cu6bGR
via Yuichun

2016年9月24日 星期六

EEVblog #926 – Introduction To The Oscilloscope

What is an oscilloscope?
What is it useful for?
How is it used?
What is the difference between an old school analog and a modern digital storage oscilloscope?
Rigol DS1054Z is a good basic digital scope

How not to blow up your oscilloscope VIDEO
Mysteries of X1 Oscilloscope Probes Revealed VIDEO
Vertical Confusion VIDEO
AC Trigger Coupling VIDEO

Forum HERE



from EEVblog http://ift.tt/2didqrv
via Yuichun

2016年9月23日 星期五

Display: Custom Doesn't Always Cost More

Customized displays don't need to be a complicated and expensive choice for designers and procurement professionals.

from EETimes: http://ift.tt/2dgCgDN
via Yuichun

SPONSORED: IEEE GLOBECOM'16: Freedom Through Communications, Dec 4-8



from EETimes: http://ift.tt/2daEOXP
via Yuichun

LED-Based ToF Sensor Network Detects Room Occupants

Researchers at Rensselaer Polytechnic Institute have been awarded a US patent for a system of LEDs and sensors that generate an elevation map of the space being lit by the luminaires.

from EETimes: http://ift.tt/2cS7ohC
via Yuichun

Chip IP Biz & IC Industry M&As

What do all the latest M&A events in the chip industry mean to semiconductor IP companies?

from EETimes: http://ift.tt/2dgd98v
via Yuichun

Fujitsu streamlines GPUs to double deep learning accuracy

Fujitsu Laboratories has streamlined the internal memory of GPUs to support neural networks in a development which has doubled the accuracy of machine learning. Recent years have seen a focus on technologies that use GPUs for high-speed machine learning to support the huge volume of calculations necessary for deep learning processing. In order to make ...

Read full article: Fujitsu streamlines GPUs to double deep learning accuracy



from News – Electronics Weekly http://ift.tt/2cLQoGu
via Yuichun

EverDisplay Optronics to set up 6G AMOLED factory, says paper

China-based AMOLED panel maker EverDisplay Optronics (Shanghai) will invest CNY27.278 billion (US$4.09 billion) to set up a 6G AMOLED factory with monthly production capacity of 30,000 glass substrates, trial production scheduled for the end of 2018 and volume production of 1- to 13-inch panels to begin in 2019, according to a Chinese-language Economic Daily News (EDN) report.

from DIGITIMES: IT news from Asia http://ift.tt/2dfwc2w
via Yuichun

Taiwan market: Taiwan Mobile signs pact with Tesla

Taiwan Mobile (TWM) has entered an agreement with Tesla to cooperate in the B2B connected car market in Taiwan, according to company president James Jeng.

from DIGITIMES: IT news from Asia http://ift.tt/2cq5M8v
via Yuichun

Value of semiconductor industry M&A agreements already over US$50 billion, says IC Insights

After an historic surge in semiconductor merger and acquisition agreements in 2015, the torrid pace of transactions has eased (until recently), but 2016 is already the second-largest year ever for chip industry M&A announcements, according to IC Insights.



from DIGITIMES: IT news from Asia http://ift.tt/2dftNVN
via Yuichun

Chip orders for new iPhone to fall in 1Q17, sources say

Chip orders placed for the iPhone 7/7 Plus will likely fall about 20% sequentially in the first quarter of 2017, according to an estimate made by analog chip suppliers.

from DIGITIMES: IT news from Asia http://ift.tt/2cq79UC
via Yuichun

Taiwan market: NCC urges smooth shift from 2G to 3G/4G

As Taiwan's operating licenses for GSM 2G mobile communication will expire June 30, 2017 and 2G services will end beginning July 1, 2017, the National Communications Commission (NCC) has urged mobile telecom carriers to gradually shift their 2G subscribers to 3G or 4G through appropriate measures to make sure that the shift is smooth without causing any impacts and disputes.

from DIGITIMES: IT news from Asia http://ift.tt/2dfuRsK
via Yuichun

HP and LG see solid on-year shipment growth in monitors, says IDC

The worldwide PC monitor market shipped more than 30 million units in the second quarter of 2016, up 4.9% on year and 4.6% on quarter, according to the IDC Worldwide Quarterly PC Monitor Tracker.

from DIGITIMES: IT news from Asia http://ift.tt/2cWiQET
via Yuichun

Digitimes Research: Shipments of VR video-enabled smartphones, bundled VR devices to soar in 4Q16

The penetration rate of VR-enabled smartphones and tablets, as well as shipments of VR devices bundled with gaming consoles or PCs will continue to soar in the fourth quarter of 2016, according to Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/2dom5az
via Yuichun

Shanhai Capital to acquire Analogix Semiconductor

China-based Beijing Shanhai Capital Management announced that it has entered into a merger agreement with Analogix Semiconductor under which a consortium led by Shanhai Capital will acquire all of the outstanding shares of Analogix for over US$500 million. China Integrated Circuit Industry Investment Fund Co. (China IC Fund) also joined Shanhai Capital's fund as one of the limited partners. The transaction is subject to regulatory approvals and is expected to close in late 2016.

from DIGITIMES: IT news from Asia http://ift.tt/2cWhHx7
via Yuichun

68.84 million large-size panels shipped globally in August, says WitsView

There were 68.84 million large-size display panels shipped globally in August, increasing 9.3% on month, according to WitsView.

from DIGITIMES: IT news from Asia http://ift.tt/2dolDcj
via Yuichun

Taiwan government aims to install 3 million low-voltage smart power meters in 2024

The Taiwan government, in line with energy saving and reduction in carbon emission policies, aims to install 200,000 low-voltage (110V) smart power meters in 2017, one million units in 2020 and three million units in 2024, according to the Ministry of Economic Affairs.

from DIGITIMES: IT news from Asia http://ift.tt/2cWi7n0
via Yuichun

Cadence delivers integrated system design solution for TSMC InFO packaging technology

Cadence Design Systems has announced the immediate availability of an integrated system design solution for TSMC's advanced wafer-level integrated fan-out (InFO) packaging technology, resulting from a collaboration between Cadence and TSMC that was announced earlier in 2016.

from DIGITIMES: IT news from Asia http://ift.tt/2dolOV9
via Yuichun

Foxconn, Pegatron start production of Sony PS4 Pro, Foxconn trialling production of Nintendo NX

Foxconn Electronics and Pegatron have begun production of the PlayStation (PS) 4 Pro, a new Sony game console to be launched on November 10, and Foxconn has undertaken trial production of the NX, a new Nintendo games console, according to industry sources.



from DIGITIMES: IT news from Asia http://ift.tt/2cWib6q
via Yuichun

Phison board approves consolidation of company and offshore units

Taiwan-based Phison Electronics' board of directors has approved plans to obtain 100% of its offshore business Everspeed and all the units under Everspeed including Memoryexchange. The transfer process will be completed by September 30, according to the flash device controller firm.

from DIGITIMES: IT news from Asia http://ift.tt/2dolK7Y
via Yuichun

Taiwan sees increased August export order value, says MOEA

Taiwan received export orders worth US$37.93 billion in total in August 2016, increasing 8.3% on month and on year, according to statistics released by the Ministry of Economic Affairs (MOEA) on September 22.

from DIGITIMES: IT news from Asia http://ift.tt/2cWi5vo
via Yuichun

ITRI cooperates with Nvidia to develop self-driving technology

The government-sponsored Industrial Technology Research Institute (ITRI) on September 21 signed an MoU with Nvidia for technological partnership to develop artificial intelligence (AI) technology, and will initially apply the technology to autonomous driving and smart robots, according to ITRI.

from DIGITIMES: IT news from Asia http://ift.tt/2don1Md
via Yuichun

Toshiba expands 24nm SLC NAND flash lineup to address industrial applications

Toshiba America Electronic Components has expanded its family of 24nm single-level cell (SLC) NAND flash memory solutions. The new 16Gb BENAND is housed in an industry-standard 48-pin TSOP package, and offers a combination of high read/write performance, effective write endurance (using 8-bit BCH error correction code), and extended temperature operation. This makes it suitable for a wide variety of commercial and industrial applications.

from DIGITIMES: IT news from Asia http://ift.tt/2cWi2Ql
via Yuichun

2016年9月22日 星期四

Analogix sold to China

Analogix, the Santa Clara HDMI connectivity specialist, has been bought by Beijing Shanhai Capital Management and China Integrated Circuit Industry Investment Fund for $500 million. Customers for Analogix’ mixed-signal semiconductor ICs for display applications include Apple, Samsung, LG, Microsoft, Google, Lenovo, Dell, HP, Asus, and HTC. The majority of Analogix’ engineering operations are located in ...

Read full article: Analogix sold to China



from News – Electronics Weekly http://ift.tt/2cpSdWo
via Yuichun

Friday Quiz: Capacitors and Decoupling, Part 2

This quiz will make you think even more about how and when to use decoupling capacitors in high-speed circuits.

from EETimes: http://ift.tt/2cLnOES
via Yuichun

TSMC Expands its 3D Menu

TSMC showed an expanding array of foundry process technologies, packaging options and applications-specific platforms at an event.

from EETimes: http://ift.tt/2d8nPWb
via Yuichun

Where Are the IoT Security Startups?

You'd think that the dissonance between excitement over IoT opportunities on one hand and concern about IoT security on the other would yield a rich breeding ground for companies targeting IoT security.

from EETimes: http://ift.tt/2cFKzIi
via Yuichun

Wide-Bandgap Boosts EVs

North Carolina State University (NC State) has invented a power inverter for electric vehicles that almost meets the Department of Energy's (DoE's) 2020 mandate by using wide-bandgap silicon-carbide components.

from EETimes: http://ift.tt/2ddCboF
via Yuichun

Amazon Echo & How It Resonates

Amazon's Echo-like smart speakers are on the rise. The voice interface is emerging as one of the most natural interface to control IoT devices. Analysts expect the voice interface in home automation hubs, TVs, set-top boxes, HVAC/environmental control hubs and more.

from EETimes: http://ift.tt/2cFDxDp
via Yuichun

Get Online Help for Memory, I/O Optimization

eSilicon is upgrading with the launch of Star Navigator, new additions to the company's online tool suite, this time focused on memory IP and I/O libraries.

from EETimes: http://ift.tt/2dc1EdL
via Yuichun

Plessey squeezes 3,000 lm and optics into 5.6mm thick module

UK lighting LED maker Plessey has introduced its first lighting module which includes its ‘Steller’ beam-forming technology to reduce height, in this case to 5.6mm. The 82mm disc, first member of the Orion PLWS3000 series, emits 3,000 lm from an 82mm diameter module while achieving a +/-12.5°beam. Including losses in the built-in optics, efficacy is over ...

Read full article: Plessey squeezes 3,000 lm and optics into 5.6mm thick module



from News – Electronics Weekly http://ift.tt/2dm0Kyy
via Yuichun

National Coding Week: get involved this weekend

We are in the middle of National Coding Week, and it is not too late to get involved. This is its third year and we are half way through it. Estimates suggest 3,000 people will have taken part by the end. Some of the remaining events are: Fri 23rd Manchester – Taster coding sessions Sat ...

Read full article: National Coding Week: get involved this weekend



from News – Electronics Weekly http://ift.tt/2d6omrC
via Yuichun

Eaton TV supercapacitor has 3V cells

Eaton has announced TV supercapacitors with 3-volt (V) cells claimed toincrease stored energy capacity and power by 20% compared to 2.7V cells. Working either in conjunction with or as standalone alternatives to batteries, TV supercapacitors help reduce maintenance requirements and can provide a longer life and cost-effective energy storage for applications that require extremely reliable ...

Read full article: Eaton TV supercapacitor has 3V cells



from News – Electronics Weekly http://ift.tt/2cY3FsY
via Yuichun

Protective component maker PTTC looks to increasing shipments of thermal conductive boards

Protective component maker Polytronics Technology (PTTC) expects its thermal conductive boards (TCBs) for automobile lamp applications to account for 5% of its total sales in 2016 and further increase to 10% in 2017, according to a Chinese-language Commercial Times report.

from DIGITIMES: IT news from Asia http://ift.tt/2cqpMfQ
via Yuichun

Taiwan August unemployment rate up, says DGBAS

Taiwan had 480,000 jobless citizens in august 2016, equivalent to an unemployment rate of 4.08%, rising 0.06pp on month and 0.18pp on year, according to statistics released by the Directorate-General of Budget, Accounting and Statistics (DGBAS) on September 22.

from DIGITIMES: IT news from Asia http://ift.tt/2d3mtsX
via Yuichun

Advantech subject to US ITC patent infringement probe

US-based Rockwell Automation has recently filed a complaint with the US International Trade Commission (ITC) against Germany-based Smart Software Solutions (3S) and Taiwan-based industrial computing device maker Advantech alleging 3S's CoDeSys software, used in industrial automation control, infringes on eight patents. Advantech adopts CoDeSys in its hardware.

from DIGITIMES: IT news from Asia http://ift.tt/2cqpi9z
via Yuichun

Server shipments expected to pick up as Internet service providers place orders

With Google, Facebook, Microsoft and Amazon ready to pull in their new datacenter server orders for the second half, sources from the server-related upstream supply chain expect server shipments to enjoy growth in the last half of 2016 and competition between server players will also start heating up.

from DIGITIMES: IT news from Asia http://ift.tt/2d3lZDe
via Yuichun

TXC pre-tax profits rise 6%

Quartz component maker TXC has reported pre-tax profits of NT$696 million (US$22.2 million) for January-August, up 5.6% compared to the same period in 2015. Pre-tax EPS for the eight-months came to NT$2.25.

from DIGITIMES: IT news from Asia http://ift.tt/2cqoRwc
via Yuichun

Mitac to see revenues soar 15-20% sequentially in 3Q16

Mitac International is expected to see its revenues grow 15-20% sequentially in the third quarter of 2016, buoyed by increasing server orders from brand vendors and datacenter clients, according to a Chinese-language Commercial Times report.

from DIGITIMES: IT news from Asia http://ift.tt/2d3mLQC
via Yuichun

Gamma Optical quits production of optical films

Gamma Optical has stopped optical film production due to continued losses since 2010 arising from price competition from China-based makers, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/2cqpwxz
via Yuichun

Oculus reportedly in talks with MSI for VR cooperation

Oculus reportedly is also interested in virtual reality (VR) backpack PC products developed by Micro-Star International (MSI), and the two companies are currently in talks for cooperation, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2d3mJYP
via Yuichun

2016 M&A second only to 2015 thanks to Q3 deals

2016 will be another big year for M&A – but only because of three large deals in Q3:,ARM-SoftBank, ADI-Linear and Renesas-Intersil, says ICInsights. The three deals had a collective value of $51 billion. 2015 was the all-time high for M&A with deals worth $103.8 billion. So far this year, the total value of M&A deals ...

Read full article: 2016 M&A second only to 2015 thanks to Q3 deals



from News – Electronics Weekly http://ift.tt/2cIXxYb
via Yuichun

2016年9月21日 星期三

China market: LeEco launches new smartphone

China-based LCD TV and smartphone vendor LeEco has launched its new Le Pro 3 smartphone and with the device, the company is expected to ship over 15 million smartphones in 2016, according to sources from the upstream supply chain.

from DIGITIMES: IT news from Asia http://ift.tt/2cq2aYZ
via Yuichun

Entry-level smartphone panel prices hit record, says WitsView

Prices for 4-inch WVGA and 4.5-inch FWVGA panels used in entry-level smartphones have risen by over 50% to record levels, according to WitsView.

from DIGITIMES: IT news from Asia http://ift.tt/2d30mmF
via Yuichun

GIS to set up high-end display, OLED touch module factory in China

Touch panel maker General Interface Solution (GIS) will invest CNY5 billion (US$750 million) in five years to set up a factory of high-end display panels and OLED touch modules in Chengdu High-Tech Industrial Development Zone, western China, in an attempt to compete for orders for new iPhones to be launched possibly with OLED displays in 2017, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2cq2Flx
via Yuichun

MediaTek likely to roll out 2 10nm chip versions, says report

MediaTek is considering rolling out two versions of its 10nm chips, the Helio X30 for high-end smartphones and the X35 for the lower-end segment, according to a Chinese-language Economic Daily News (EDN) report.

from DIGITIMES: IT news from Asia http://ift.tt/2d2ZwGs
via Yuichun

M31 partnering with TSMC to offer MIPI PHY solutions

Silicon IP provider M31 has announced the development of its new MIPI M-PHY Gear3 IP on TSMC's 40LP and 28nm HPC+ process nodes. The 40LP M-PHY has been widely adopted by customers while the 28HPC+ IP will be formally released in the fourth quarter of 2016.

from DIGITIMES: IT news from Asia http://ift.tt/2cq1gvi
via Yuichun

Backend firms seeing tight supply

IC backend houses have recently seen their supply fall short of demand as their delivery times have extended to more than two weeks, up from 4-7 days, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2d30m5M
via Yuichun

Microsoft unveils Nokia 216 feature phone

Microsoft has unveiled a new feature phone, the Nokia 216, which sports a 2.4-inch QVGA display enabling Internet browsing, viewing pictures, playing videos and gaming.

from DIGITIMES: IT news from Asia http://ift.tt/2cq2BCu
via Yuichun

Taiwan market: China smartphone vendor Meitu launches M6

China-based smartphone vendor Meitu has launched its Meitu M6 smartphone in the Taiwan market. The Meitu M6, which features a 5-inch Full HD display and an octa-core MediaTek Helio 10P CPU, is available at NT$12,990 (US$414) unlocked.

from DIGITIMES: IT news from Asia http://ift.tt/2d30DWn
via Yuichun

"Cloak" Makes Signal-Blocking Wall Disappear

In a demonstration at EDICON, front scattering shows how EM waves can turn a wall into a window.

from EETimes: http://ift.tt/2diCtGN
via Yuichun

ON Semi Deepens Power Stake with Fairchild Acquisition

The semiconductor industry consolidation continues. Companies with power management technology and products appear to be good bets.

from EETimes: http://ift.tt/2d1UsC6
via Yuichun

Robo-Car's Safety Challenges DoT

The federal policy places self-driving cars directly under the purview of federal regulators. Stripped from the privilege of "self-certifying" their vehicles, carmakers will need "pre-market approval" from the DoT. The key question, however, is testing of AI cars' safety.

from EETimes: http://ift.tt/2d1S0LY
via Yuichun

Fastener for waterproof handhelds and wearables

Stanley has introduced a tiny screw and thread pair (known as a micro-fastener) for waterproof and vibration-proof wearables and portables. “Since water-resistant standards play a big role in making expensive handheld and wearable digital devices more durable, the industry has adopted the IPx7 rating, which protects against immersion in water for 30 minutes at a ...

Read full article: Fastener for waterproof handhelds and wearables



from News – Electronics Weekly http://ift.tt/2cmdtfE
via Yuichun

Tough capacitors

Cornell Dubilier has shown considerable initiative in setting aluminium electrolytics against banks of wet tantalum capacitors in high-reliability long-life applications by creating what it claims is the world’s only hermetic aluminium electrolytic capacitor with a glass-to-metal seal. Called MLSH Slimpack, the hermetic products are based on Dubilier’s non-hermetic Flatpack series, which it has been supplying ...

Read full article: Tough capacitors



from News – Electronics Weekly http://ift.tt/2djcZfu
via Yuichun

Startup Tips Machine Learning Chip

Wave Computing will describe a machine-learning chip at an event showing increasing specialization in microprocessor designs.

from EETimes: http://ift.tt/2cR2XiY
via Yuichun

Design Council names star inventors at London Design Festival

Four finalists in the of Design Council Spark’s 2016 Awards will share up to £200,000 to help bring their innovative products to market. Last night, as part of the London Design Festival, Design Council announced the 2016 Spark Awards: Nick Webb, Matt Sparrow and Matt Dyson have answered the needs of sleep-deprived parents everywhere with ...

Read full article: Design Council names star inventors at London Design Festival



from News – Electronics Weekly http://ift.tt/2cGSRlz
via Yuichun

German researchers show double helix in semiconductors

This furry caterpillar-like image could represent an important breakthrough in flexible semiconductors for displays and solar cells. It is a semiconductor with a double helix atomic structure, similar to that which is the basis of DNA. 
A team from the Technical University of Munich (TUM) has discovered a double helix structure in an inorganic material. ...

Read full article: German researchers show double helix in semiconductors



from News – Electronics Weekly http://ift.tt/2cJlAth
via Yuichun

China market: Asustek launches ZenFone 3, notebooks and 2-in-1s

Asustek Computer announced its new notebooks and smartphones including ZenFone 3, ZenFone 3 Deluxe, ZenFone 3 Ultra, ZenBook 3, Transformer 3 and Transformer 3 Pro in China on September 20.

from DIGITIMES: IT news from Asia http://ift.tt/2d7OcY6
via Yuichun

Southeast Asia is stage for Taiwan online service providers, says TiEA president

For Taiwan-based online e-commerce, shopping or gaming service operators, Southeast Asia countries afford relatively good opportunities for extending business operations from the domestic market to overseas, according to president Jamie Lin for the Taiwan Internet and E-Commerce Association (TiEA),.



from DIGITIMES: IT news from Asia http://ift.tt/2cYI2fX
via Yuichun

Digitimes Research: Notebook players see August shipments up on month

The top-5 notebook vendors and top-3 notebook ODMs saw their shipments rise 27% and 31% on month in August thanks to inventory preparation for the year-end holidays in Europe and North America, Windows 10's annual upgrade, and mass shipments of Intel's Kaby Lake processors.

from DIGITIMES: IT news from Asia http://ift.tt/2d7LSjZ
via Yuichun

Innodisk memory modules verified for Apollo Lake CPUs

Industrial memory module maker Innodisk is expected to see its revenues hit a new high in September as its DDR3L 1866 memory module has been verified for Intel's Apollo Lake platform. Meanwhile, its car-use SSD products have received E-Mark certification from the EU, according to a Chinese-language Commercial Times report.

from DIGITIMES: IT news from Asia http://ift.tt/2cYHWoI
via Yuichun

China SITRI 8-inch fab ready for MEMS manufacturing

The government-sponsored Shanghai Industrial Technology Research Institute (SITRI) has its 8-inch wafer fab targeted specifically at the manufacture of MEMS parts with volume production slated for the second quarter of 2017.

from DIGITIMES: IT news from Asia http://ift.tt/2d7LSAz
via Yuichun

Taiwan market: HTC launches Desire 10 Lifestyle

HTC has begun marketing its mid-range HTC Desire 10 Lifestyle smartphone in Taiwan and globally. The Desire 10 Lifestyle, which features a 5.5-inch display, Qualcomm Snapdragon 400 CPU and a 13-megapixel main camera, is available at NT$7,990 (US$255) unlocked in the local market.

from DIGITIMES: IT news from Asia http://ift.tt/2cYIMSb
via Yuichun

iPhone 7 materials costs higher than previous versions, IHS says

The bill of materials (BOM) for an iPhone 7 equipped with 32GB of NAND flash memory carries US$219.80 in bill of materials costs, according to a preliminary estimate from IHS Markit.



from DIGITIMES: IT news from Asia http://ift.tt/2d7Lnqh
via Yuichun

EEVblog #925 – Panasonic CF-U1 ToughBook Teardown

Teardown of the Panasonic CF-U1 Windows 7 based Toughbook

Forum HERE



from EEVblog http://ift.tt/2cPumn9
via Yuichun

2016年9月20日 星期二

Chipbond 3Q16 gross margin to reach 26%, says report

Chipbond Technology is expected to see its third-quarter gross margin reach the highest quarterly level since 2015, buoyed by strong shipments for high-margin power amplifiers, according to a report by Taiwan's Central News Agency (CNA).

from DIGITIMES: IT news from Asia http://ift.tt/2cnT1Qx
via Yuichun

China market: Smartphone vendors foray into mobile payment market

With the number of mobile payment users in China still expanding robustly and is likely to reach 200 million in 2016, which is more than five times the market size in the US, brand smartphone vendors including Apple, Samsung Electronics and local brands Huawei and Xiaomi Technology have forayed into the mobile payment race, according to media reports in China.

from DIGITIMES: IT news from Asia http://ift.tt/2clpK3S
via Yuichun

Acer to release VR backpack for 2017, targeting B2B market

Acer is planning to launch a virtual reality (VR) backpack for 2017, but instead of the consumer sector, the product will mainly focus on the business to business (B2B) market. The product will sell with the StarVR head-worn VR device, which Acer has partnered with Sweden-based game developer Starbreeze on development, according to Jerry Kao, general manager of Acer's IT products business division.

from DIGITIMES: IT news from Asia http://ift.tt/2cnSRZi
via Yuichun

Formosa Epitaxy to lay off 300 workers

LED epitaxial wafer and chip maker Formosa Epitaxy will lay off 300 employees at the end of September, according to its parent company, Epistar.

from DIGITIMES: IT news from Asia http://ift.tt/2clnauT
via Yuichun

AUO sells stake in Malaysia-based PV module maker

TFT-LCD panel maker AU Optronics (AUO) has announced that its Singapore-based subsidiary AU Optronics Singapore Pte. has disposed of 1.129 billion common shares of AUO SunPower Sdn. Bhd., a Malaysia-based PV module maker established with US-based SunPower on a joint venture basis in 2010, at US$0.15 per share for a total price of US$170 million.

from DIGITIMES: IT news from Asia http://ift.tt/2cnTSAD
via Yuichun

Cadence delivers tools for implementation and signoff of new ARM Cortex-R52 CPU

Cadence Design Systems has announced the availability of a Cadence Rapid Adoption Kit (RAK) for the new ARM Cortex-R52 CPU, which targets complex embedded designs for safety applications in markets including automotive, medical and industrial.

from DIGITIMES: IT news from Asia http://ift.tt/2clmkOY
via Yuichun

Inotera provides update on acquisition by Micron

Inotera Memories has provided an update on the progress of its share-swap deal with Micron Technology, under which the US firm will buy all the shares it does not own in the Taiwan-based affiliate.

from DIGITIMES: IT news from Asia http://ift.tt/2cnT3Ib
via Yuichun

China market: Huawei striving to develop cloud datacenter business

Huawei plans to inject an annual R&D expenditure of US$1 billion in the next few years to develop its cloud datacenter products, aiming to generate revenues of US$10 billion from cloud-related business by 2020.



from DIGITIMES: IT news from Asia http://ift.tt/2clmgyx
via Yuichun

Taiwan attracts foreign direct investment of over US$6.6 billion in January-August

Taiwan's Ministry of Economic Affairs (MOEA) approved 2,273 foreign direct investment projects (except from China) totaling US$6.627 billion in January-August 2016, respectively decreasing 6.61% and increasing 143.08% on year.

from DIGITIMES: IT news from Asia http://ift.tt/2cnSIW4
via Yuichun

RS signs XP

RS Components has signed a distribution agreement with XP Power and now stocks around 2500 product lines from the manufacturer. The XP offering available via RS consists of a broad range of AC/DC power supply products from 5 Watts to 3000 Watts including: ITE and medical Level VI efficiency desktop and plug-in type external power ...

Read full article: RS signs XP



from News – Electronics Weekly http://ift.tt/2cS2fQV
via Yuichun

That which is lost is found again, thanks to Dialog.

Dialog’s DA14580 SmartBond SoC is in the Tile Slim – a 2.4mm thick Bluetooth tracker – which allows a user to ring their Tile to locate a misplaced item, or view an item’s last known location on a map. It can also tap into Tile’s community, the world’s largest lost-and-found network, to help them find ...

Read full article: That which is lost is found again, thanks to Dialog.



from News – Electronics Weekly http://ift.tt/2cPjYd8
via Yuichun

UltraSoC to support RISC-V

UltraSoC, the SoC debug specialist, is to support products based on the RISC-V open-source ISA. UltraSoC is supporting the RISC-V Foundation, the non-profit corporation that directs the development and drives the adoption of the RISC-V ISA, which some call “the Linux of the semiconductor industry”. ”We’re delighted to be able to support RISC-V, and we’re ...

Read full article: UltraSoC to support RISC-V



from News – Electronics Weekly http://ift.tt/2dgYnwS
via Yuichun

Wind River integrates VxWorks with IBM’s Watson IoT service

  Wind River has announced a VxWorks  real-time operating system (RTOS) client for the IBM Watson IoT platform. This is part of the IBM and Wind River collaboration aimed at IoT deployments amongst industrial customers – offering what the pair call ‘edge-to-cloud recipes’ designed to simplify the development of smart connected devices. “This integration marks the ...

Read full article: Wind River integrates VxWorks with IBM’s Watson IoT service



from News – Electronics Weekly http://ift.tt/2d5zb9c
via Yuichun

Persistent Memory Needs Apps

A researcher from Hewlett Packard Enterprise called for apps that use persistent memory on servers although the chips are still more than a year away.

from EETimes: http://ift.tt/2cOvNjX
via Yuichun

Five grim years

The chip industry will sink another 3.8% this year, said Malcolm Penn, CEO of Future Horizons at IFS 2016 in London this morning. “I t looks like the best number for this year, by my mathematics, is minus 3.8%,” said Penn, “that’s five years in a row that the chip market hasn’t recovered.” “Why?” asked ...

Read full article: Five grim years



from News – Electronics Weekly http://ift.tt/2dgdvea
via Yuichun

250A bi-directional converter for 12/48V dual-battery automotive

Linear Technology has announced 100V to 30V bi-directional two phase synchronous buck or boost controller, intended for cars with 48V+12V dual battery electrics. “12V automotive systems are reaching their 3kW power limit due to the ever-increasing demand for more electrical devices. A proposed standard, LV148, combines a secondary 48V bus with the existing 12V system,” ...

Read full article: 250A bi-directional converter for 12/48V dual-battery automotive



from News – Electronics Weekly http://ift.tt/2cQdcm6
via Yuichun

IoT Design 2016: Smart Building good: smart Home bad

Electronics Weekly’s IoT Design 2016 event took place in London last Thursday, at CodeNode. ‘Making IoT work for us’ was the title, and a notable theme that emerged across the conference was the promising development of the Internet of Things (IoT) in smart buildings. IBM It started with IBM’s emerging technology specialist Nick O’Leary (pictured ...

Read full article: IoT Design 2016: Smart Building good: smart Home bad



from News – Electronics Weekly http://ift.tt/2cr0EUU
via Yuichun

Intel teams with UK web company for industrial IoT

Intel has teamed up with London-based IoT services company Telit Communications to create a series of system development platforms for the industrial internet of things node designs. Intel will adopt Telit’s deviceWISE IoT device management architecture for its IoT platform which it will use to support the design of IoT nodes for remote machine monitoring ...

Read full article: Intel teams with UK web company for industrial IoT



from News – Electronics Weekly http://ift.tt/2dg0ctX
via Yuichun

EMEA server spending decreases by 3.7% on year in 2Q16, says IDC

As reported in IDC's EMEA Server Tracker, in the second quarter of 2016 the EMEA server market reported a moderate on-year decline in vendor revenues of 3.7% to EUR3 billion (US$3.37 million) and a slight on-year increase of 0.8% in units shipped to just over 530,000.



from DIGITIMES: IT news from Asia http://ift.tt/2cjg765
via Yuichun

FET unveils first 5G lab in Taiwan

Mobile telecom carrier Far EasTone Telecommunications (FET) has unveiled the first 5G laboratory ever established in Taiwan through cooperation with Ericsson. The lab, which is also the world's 25th 5G labs, is the ninth of such fab that can reach a wireless data transfer speed of 1Gbps, according to FET.

from DIGITIMES: IT news from Asia http://ift.tt/2clAhkA
via Yuichun

China-based GigaDevice reportedly buys plant from Memoright

Beijing-based GigaDevice Semiconductor has reportedly taken over a Memoright plant located in Wuhan, which could pave the way for the China-based NOR flash vendor to step into the SSD and embedded storage field.

from DIGITIMES: IT news from Asia http://ift.tt/2cjf71K
via Yuichun

Nvidia to host GTC Taipei starting September 21

Nvidia's GPU Technology Conference (GTC) in Taipei will begin on September 21 and company CEO Jen-Hsun Huang is expected to make a keynote about the company's development progresses on artificial intelligence (AI), deep learning and its automatic driving platform. Nvidia will showcase its latest GPU technology and products and is also planning to unveil several partnerships with Taiwan's Industrial Technology Research Institute (ITRI).

from DIGITIMES: IT news from Asia http://ift.tt/2clBgkG
via Yuichun

Digitimes Research: Collaborative robots to drive Industry 4.0 smart manufacturing

Buoyed by increasing investments by makers and rising popularity in use, collaborative robots, which are designed to promote collaborative operations between human being and machines, are expected to become a major force to push forward Industry 4.0 smart manufacturing, according to Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/2cjg8qJ
via Yuichun

Fujitsu and Fraunhofer demo 1Tbps transmission

Fujitsu Laboratories and the Fraunhofer Heinrich Hertz Institute have today announced the development of a new method to simultaneously convert the wavelengths of wavelength-division-multiplexed signals necessary for optical communication relay nodes in future wavelength-division-multiplexed optical networks, and have successfully tested the method using high-bandwidth signal transmission in the range of 1 Tbps. In the conventional ...

Read full article: Fujitsu and Fraunhofer demo 1Tbps transmission



from News – Electronics Weekly http://ift.tt/2cE1jC2
via Yuichun

Electronica: Rohm gets into LED headlights

Rohm will show matrix-style LED headlamp controllers at Electronica in Munich in November. Matrix headlamps use an array of LEDs to allow arbitrary beam shapes to be produced – dip, main, anything in between, and anti-dazzle dark spots withing beams. “Matrix/Pixel LED dynamic front lights and dynamic sequential turn indicators mark the latest developments in ...

Read full article: Electronica: Rohm gets into LED headlights



from News – Electronics Weekly http://ift.tt/2cF384N
via Yuichun

2016年9月19日 星期一

Peratech 'Print' Smart Buttons on Any Surface

Peratech, which invented quantum tunneling composites (QTC) materials, is now allowing its clients to print a smart button, which people can push with force, on any surface of any materials - including laminated wood.

from EETimes: http://ift.tt/2cqy31I
via Yuichun

Taiwan Photon Source inaugurated

Taiwan's National Synchrotron Radiation Research Center (NSRRC) on September 19 held a ceremony for starting operation of Taiwan Photon Source (TPS), a synchrotron radiation facility with light source featuring energy of 3 billion electron volts and circumference of 518m, according to NSRRC.

from DIGITIMES: IT news from Asia http://ift.tt/2cMHLdX
via Yuichun

Nichia, Taiwan LED chips makers to start UV-C LED production

Japan-based Nichia will begin production of UV-C (ultraviolet with wavelength of below 280nm) LED products in fourth-quarter 2016, while Taiwan-based LED chip makers will do so by the end of 2016, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2d09iff
via Yuichun

China lacks funds to subsidize renewable energy

The China government is short of the funds required to subsidize generation of renewable energy, mainly PV and wind power, with the gap reaching a cumulative amount of CNY55 billion (US$8.27 billion) at the end of June 2016 and is estimated to increase to CNY60 billion by the end of the year, China-based media cited the National Development and Reform Commission (NDRC) as indicating.



from DIGITIMES: IT news from Asia http://ift.tt/2cMHPu7
via Yuichun

Asia-Pacific to top 2016 regional IC sales in major system categories, says IC Insights

Asia-Pacific's grip as the dominant market for IC sales is forecast to strengthen in 2016 with the region expected to account for 61% of the US$282.0 billion IC market, according to IC Insights. The forecast calls for another small gain in total IC marketshare in 2016 after Asia-Pacific held 57.7% share in 2013, 58.4% in 2014, and 60.5% in 2015.

from DIGITIMES: IT news from Asia http://ift.tt/2d09rPX
via Yuichun

BOE reportedly sets up new R&D team for bio-sensors

China-based BOE has teamed up at least 100 engineers engaged in R&D for bio-sensors, according to industry sources. A business unit has also been set up under BOE to focus on related sensor products.

from DIGITIMES: IT news from Asia http://ift.tt/2cMHKXr
via Yuichun

Worldwide smartwatch market to swell to 50 million units in 2020, says IDC

A year after the launch of the Apple Watch established a new benchmark for the worldwide smartwatch market, new smartwatch shipments are expected to see only modest growth for the rest of 2016 due to late-in-the-year and iterative product releases. According to a new forecast from the IDC Worldwide Quarterly Wearable Device Tracker, total smartwatch shipments will reach 20.1 million units in 2016, an increase of 3.9% from the 19.4 million units shipped in 2015.

from DIGITIMES: IT news from Asia http://ift.tt/2d0a4sO
via Yuichun

Applied Materials, IME to advance R&D in fan-out wafer-level packaging

Applied Materials and the Institute of Microelectronics (IME), a research institute under Singapore's Agency for Science, Technology and Research, have announced a five-year extension of their collaboration at their joint R&D center to focus on advancing fan-out wafer-level packaging (FOWLP).

from DIGITIMES: IT news from Asia http://ift.tt/2cMH306
via Yuichun

ASE a major SiP backend provider for Apple, say sources

Advanced Semiconductor Engineering (ASE), through its Shanghai-based subsidiary Universal Scientific Industrial (USI), has obtained SiP (system-in-package) backend orders for Wi-Fi, fingerprint sensor and force touch modules used in the recently-released iPhone 7/7 Plus, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2d0a1gD
via Yuichun

Rising NAND flash prices to buoy related suppliers 3Q16 performance

A recent rally in NAND flash prices will buoy sales and profits at related companies including module makers Adata Technology and Apacer Technology, and flash controller IC designer Phison Electronics in the third quarter of 2016, according to a recent Chinese-language Economic Daily News (EDN) report.



from DIGITIMES: IT news from Asia http://ift.tt/2cMIjAg
via Yuichun

WPG 3Q16 revenues to rise up to 10%, says report

IC distributor WPG is expected to post revenue growth of up to 10% sequentially in the third quarter of 2016, a recent Chinese-language Economic Daily News (EDN) report quoted market watchers as saying.

from DIGITIMES: IT news from Asia http://ift.tt/2d09KtJ
via Yuichun

Welltend acquires orders for Xbox cables, says paper

Taiwan-based cable and connector maker Welltend has received orders for Microsoft's Xbox gaming console, which increased the company's revenues in August by 3.55% on month and 28.4% on year to NT$174 million (US$5.48 million), according to a Chinese-language Economic Daily News (EDN) report.

from DIGITIMES: IT news from Asia http://ift.tt/2cMJ3W8
via Yuichun

Emerging Memories: Ship First, Perfect Later

MRAM maker Everspin sees perfection as a barrier to getting traction; Everspin sees putting its technology into production as a way to improve processes and lower costs--the keys to adoption.

from EETimes: http://ift.tt/2cPdIAu
via Yuichun

AMP Group announces gigaAMP standard

The Architects of Modern Power (AMP Group) consortium today announced an additional standard aimed at establishing common mechanical and electrical specifications for the development of advanced power conversion technology for distributed power systems. The ‘gigaAMP’ standard, introduced to provide a higher current option in a land-grid array (LGA) footprint, builds on the previously-released ‘picoAMP’ standard, ...

Read full article: AMP Group announces gigaAMP standard



from News – Electronics Weekly http://ift.tt/2cY1sQk
via Yuichun